Uploaded by Виктор Субботин

Sabunin A.E. Altium Designer. Novuee resheniya v

Серия
�иtтемы ПRОеКТИQОВаНИЯ
J�llШtl� IJl�Ш
ISBN 5-91359-064-0
Серия <,Системы 11роектировt111ия•>
Сабунин А. Е.
Altium Designer
Новые решения в проектировании
электронных устройств
Москва
СОЛОН-ПРЕСС
2009
УДК 621.397
ББК 32.884.1
С 12
О1бу111111А. Е.
Altium Dcsigncr. Новые реше1111я в nроскт11рова111ш электронных
устройств. - М.: СОЛОН-ПРЕСС, 2009. - 432 с.: 1ш. - (Ссрнн <•Системы
проектирования»).
ISBN 978-5-91359-064-О
Данная к11ига прсдстамяет собоi'! первое системат11ческое 01111са11ие О(;НСн1111,1х
пр11смов работы с сист�мой автоматl!Зированноrо проект11рош11111я рашюэлсктрон­
ных устроi'!ств, пришедшей на с�rсну широко используемой в оте•1ествсн1юй 11рак­
тике программе РСЛD.
Кннга написана опытным специа..1истом в обласНt ALТIUM DESIGNER, препо­
давателем-практиком. В ней у•1тен опыт доступного изложе11и11 материала, исполь­
зуется технuпогия практического 11росктирования и пошаговое обу•1ею1е р:�боте с
системой.
В ней рассмотрены основные приемы разработки электрических 11ри11ципиа.,1ь­
ных схем, библиоте•1ных баз и печатных плат. Описаны различные аспекты уста­
новок опций при проектировании и моделировании радиоэлектронных устройств.
Рассмотрен ряд оригинальных решений. значительно повышающих эффективность
этих процессов.
Книга предназначена для широкого круга инженер110-технических специалистов,
студентов и аспирантов техни"еских ВУЗов, занимающихся проектирование.ч :мек­
тро11ных устройств.
КНИГА:_ ПОЧТОЙ.
К11иги и:ща1сльства •СОЛОН-ПРЕСС• �южно заказать на.1ожс11ным платежом (01uшта
np11 nолу•1ении) no фиксиро11анной ue11c. Заказ оформляется одшt,t ю трех сnособон:
1. Послать открытку ищt 1шсь�о по адресу: 123001, Моск1111. а/я 82.
2. Оформить заказ мож110 на сайте """w.solon-press.ru о разле;�е •Kшtra - почтой•.
3. Заказзrь no тел. (495) 254-44-10, (499) 252-36-96.
Бесплаmо высы.,астся ката.юг 1п11атсльства по 11очте. Для этого присылайте конверг
с маркой rю адресу, указанному в 11. 1.
При оформлении заказа следует nр�шилыю 1t nол11остью ука.�ать адрес. 1ю когоро�tу дол­
жш� быть оысланы кttиrи. а также фам�tю1ю, 11мя 11 отчесто<> 11олучатсля.
Желательно указать доnолшпельно свой телефо11 и мрес э11ектро11ной 1ючты.
Через И1пср11ет Вы можете n любое время 110лу•11пь сnсж11й каiалог ИЗJ1атсльства
•СОЛОН-ПРЕСС•, сч1пав его с адреса www.solon-press.ru/kal.doc.
Интернет-мur�зин раз�1еwен 11а сайте www.solon-press.ru.
По вопросам приобретения обращаться: ООО •АЛЬЯНС-КНИГА КТК•
Те.,: (495) 258-91 -94. 258-91-95. www.alians-kniga.ru
Cailт 11ЗJ1ательства •СОЛОН-ПРЕСС•: www.solon-pres.�.ru
E-mail: avtor@coba.ru
ISBN 978-5-91359-064-0
© Сабу11ин А. Е., 2009
© Макет и обложка .-СОЛОН-ПРЕСС», 2009
Введение
Аuстра лийскш1 ф11р\1а Protel l11tcr11atio11al u 1998 голу разработа­
ла с11стс�1у проскп1рован11н ана.:10го-1t11фровых 11 u11фровых
ус, роiiств Pгotcl (шl'1J,1ьнос название Altit1111 Dcsig11er), котораs1
былn по возможностям СОПОСПIIНН!а с более IOBCCTHЫMII в те ГОДЫ
ЛССЕL ЕDд и OrCAD. в·отл11ч11е от них с11стс,,1а, тогда еще Pro­
tel 98, нспол r,зовала архитектуру кл11ент/сероср - вес се отдельные
�юдули функu ионировали н срс;1е Desig11 Explorer. и�1енно тогда
была сдсл:�на первая попытка созлать обшую оболочку, в которой
схс\ютсхники 11 конструктора пе•1ат11ых плат !\югли бы решать весь
спек тр стоящих перед нrн.111 задач.
В конuс 1999 года Protel lnternational выпустила новую версию·
своей r1рограм\1ьr для Windows NT/95/98 под названием Pro­
tel 99 SE, JЗ которой была объединена масса новых функuий и воз­
можностей. Protcl 99 SE дала нозможноеть создавать многостра­
ничные иерархические принципиальные схемы, проводить моде­
лирование смешанных аналого-цифровых ·устройств по стандарту
SPICE, проектировать устройства на базе современных ПЛИС,
выполнять трассировку печатных плат с применением. различных
проuедур автотрассировки и учетом широкого набора правил про­
сктироnан ия, производить анализ пелостносrи сигналов. Уже тог­
да программу Protel отличал проектный подход к ведению разра­
боток, т. е. вес документы лля проектирования одного устройства
хранились в едином файле базы данных. Однако отсутствие воз­
можности импорта баз данных схем и печатных плат из популяр­
ных САПР (типа p.,.CAD) ограничивали возможности применения
Prot el. Основными ее пользователями могли стать лишь неболь­
шие орrанизаuии 11 отделыrые разработчики, не связанные со сло­
жиnшимся технологи 1ески� uик.лом и привлскасмь1с сравнитель­
но низкими ненами Protel.
В авг усте 2002 года компания выпустила в свет пакет Protel
DXP, прсдстаnляющий собой продолжение собственной оригина­
льной линий продуктов Protcl. Этот пакет обеспечивал сквозной
uикл проектирования смешанных а1-1алого-цифров ых печатных
плат с и спользованием программируемой логики фирм Xilinx и
Altera. К имсвшю-1ся ранее средствам посттопологи 1еского анали­
за uелостности сигнююв (Signal I ntcgrity) добавилась возможность
выполнять прсдтопологический анализ. Но главныl\t новшеством
1
1
3
Введение
системы Protel ОХР должен был стать топологический автотрасси­
ровшик Situs, призванный реализовать новый подход к автоl\lати­
чсской разводке плат.
На фоне полной мобилизации усилий на разработку пакета
Protel ОХР компания Altium продолжала развивать свой второй
пакет проектирования печатных плат P-CAD. Эта система остает­
ся, и по сей день, достаточно популярной в России, что скорее
определяется привязанностью наших разработчиков к названию
P-CAD (в свое время фирма Altium сделала умелый 1\!аркетинrо­
вый ход, переименовав пакет ACCEL EDA в P-CAD). Так, напри­
мер, по результатам опроса <,Кто в каком САПР для ПП обычно
работает?>> проведенном на форуме electronix.ru в 2005-2006 годах,
почти половина российских пользователей еше работают в про­
грамме P-CAD (см. табл. 1.1). При этом в 2006 году вышла послед­
няя версия P-CAD 2006, но в. ней не просматриваются какие-либо
принципиальные новшества.
Таблица 1.1
Результаты опроса пользователей САПР ПЛ в 2005-2006 гг
1
САПР
1 PCAD3.х 4.х
2 PCAD 200х
I,L --3
PCAD8.x
!
4 ORCAD (Cadence)
·_
5 SРВ (Cadence}
6 PADS (Mentor)
i
7 Expedition (Mentor)
8 DXP (Altium)
9 Protel (Altium)
1
1О Щ>уrая САПР
Пользователи(%)
--------
Пользователи(%)
,.
1
2,5
46,2
1,4
13,9
2,8
2,5
6,5
11,6
3, 1
9,3
50,1
13,9
2,8
2,5
6,5
1
14,7
1
9,3
Во многом эволюционная версия Protcl вышла в 2004 году,
именно с нее началось бурное развитие программы среди россий­
ских разработчиков. В Protel 2004 появился современный Win­
dоw-иодобный интерфейс. Именно в этой версии, наконец-то, по­
явилась возможность беспрепятственно выбирать единицы изме­
рения (проблема работы в дюймовой сетке была одной из
4
больших в ранних версиях программы). Кроме этого, в Protel 2004
появились: система контроля версий на базе CVS, мастер создания
Sрiсе-моделей ю1я моделироuания, получила разuитие система
формирования запросов Query и началось значительного ряда
поддерживаемых ПЛИС.
В начале 2006 года австралийская компания Altium Limited
(www.altium.com) выпустила новую версию комплексного пакета
проектирования электронных устройстn Altium Designer 6.0. В дан­
ном продукте работа над проектами печатных плат ведется в тес­
ной интеrраuии с программированием uифровых устройств на
уровне ПЛИС в единой управляющей оболочке Desig11 Explorer.
По сути в этом пакете были объединены ранее существовавшие по
отдельности пакеты Protel и Ncxar.
В новой версии Altium Dcsig11er 6 реализоnаны такие возможно­
сти, как трассировка днфференuиальных сигналов от схемного
уровня до уровня топологии печатных плат, сваппирование выво­
дов на уровне интегрированных проектов ПЛИС и печатных плат,
технологии управления библиотеками и генераuии отчетов Bill of
Materials, поддержка интерфейса с библиотекой OrCAD Capture
crs (Соmропепt l11formatio11 Systems).
Если прослеживать тенденuию развития пакета, то основная
ставка в данной версии была сделана на поддержку проектирова­
ния высокоскоростных плат. Здесь появились и инструмент трас­
сировки дифференuиальных пар, и выравнивание проводников по
мине. За время существования версии Altium Designer к ней было
выпущено 9! обновлений. Именно за это время в программе прои­
зошли значительные изменения.
Летом 2008 года австралийская компания Altium заявила о пре­
кращении развития программы P-CAD, которая является домини­
рующей системой проектирования печатных плат на постсовет­
ском пространстве. В ка1Jестве замены привычному P-CAD разра­
ботчики предлагают использовать программу этой же фирмы Altium Designer, которая не только является расширенным анало­
гом P-CAD, но и уже приобрела широкую известность среди раз­
работ<1иков всего мира, как сквозная система проектирования
электроники.
В тоже время была выпущена новая версия программы Altium
Designer Summer 08. Именно на базе этой версии была написана
данная книга, хотя некоторые примеры демонстрируют инстру­
ментарий следующей версии.
5
Введение
Начиная с 2008 года разрабог111к11 програыi\tЫ Altit1m Desig11cr
персшл11 на дuухгодИ'll!ЫЙ н11кл об11ов:1енин 11ро,1укта II псряы11
релиз, вышедший в этоi\1 голу носит название Altiнm Desigпer
Summcr 08. По сравнению с пре;1ыдущищ1 обновлс111н1.,1и, г,1с
основной акuснт делался на улучшение сушсствующ11х LЮЗi\tожно­
стсй и доработку, в новой 13ерсии добавлено несколько значитель­
ных опuий.
Большинство юмснен11ii кос11у,1ис1, улобства работы с 11роекп1\\И, например опния Dcsigп l11sigl1t - обеспе,11113ает отображсн11с
связанности, т. е. при наведении курсора на наJmш11е доку,1снта в
структуре проекта покюывается окно с i\t11ниатюр11ым и:юбраже­
нием выбранного листа. Лналоп!'tно 11ри 11авснсю111 курсора на
порт схемы, отображаются все ответные пор1ы. Улучшена систеi\1а
параллельной работы по сст11 с по1-юшыо Yer�io11 Coпtrol System
(VCS), а так же отлажена систсш1 кщш;�скс1юго выпуска докуi\1ен­
таuии.
Основные изменения кос11ул11сь редактора r1е,1атных плат, к
которым стоит ОТНССТ\1 Hi.tCTpaiшaei\lYIO проверку llСЛОСТНОСТИ по­
лигонов, улучшенную 1штерактивную трассировку, работа с трех­
мерными -.юделsши ко.,шоне1пон н уз,1ов рад1юэ;1ектронных
устройств (РЭУ).
Из добавлений знач1пелыю расш11ряюших фу11кuионu.1ьные
UОЗi\lОЖНОСТИ пporpU!\l\lbl СТО11Т ОПIСПIТL, ю111орт фаi'Iлов нз про­
граммы Allegro РСВ в фор\1атс *.brd, 11 *.аl_ц, а также сущестuсн1юс
у.1учшение интеракп1в110П трассировкн. 13 режю1е 11нтеракт11шюй
трассиро13ки испоJiьзуются с1едую1ш1с тсхнолоп1и: Pt1sl1 a11d Slю­
ve - позnо,rн1юtш1я растu.'lкивать уже сушсствуюшнс трассы и пе­
реходные отверспш, Walkaroн11d - распо.1агает трассы �1акси',1аль­
но близко к суurествуюшиi\1, Hнggi11g - уп;ютняет существуюшую
топологию вновь прокладывас:-.юй дорожкой.
Ориентация последш1х рслюов Altit1m Desigпer на MCAD 11
фор"шт STEP, выразилась n воз,южносп1 парал,1сльноii работы в
ECAD-MCAD. Данная воз"южность является лри111tипиалыю но­
вой 1L1Я программ такого класса и назю1 1 1сния. В Altiнm Desig11er
Sнmmer 08 возможно открыть гото13ыii узел РЭУ с устшювле1111011
в нем одной ил11 нсско,1ьких плат, и разрабатывать далее плату с
учеТОI\\ ее сопряжения е i\1ехани•1сским11 Л.СТU.1Я!\IИ И другими пла­
тами. Изменения, внесенные в одну из ячеек плат или ,\1сханичс­
сю1х л.еталей, можно мгновенно перенести обратно в MCAD.
6
В конце прошлого года появилась версия Altium Designer Win­
ter 09, которая на настоящий момент является текущей. Большин­
спю нововведений, появившихся в последней версии, были сдела­
ны по предложениям разработчиков печатных плат в uелях увели­
чения производительности и снижения ресурсоемкости систе:-.1ы.
Программисты Altium значительно упростили программный
код, за счет чего на этапе разработки платы значительно улу•1ше11ы скоростные и производительные характеристики, включая рас­
ширение возможностей интерактивной трассировки и работы в
трехмерном режиме.
Следующий этап в интерактивной трассировке - это встроен­
ная оптимизация uепей путем замены выводов и интерактивная
трассировка, дают возможность разработчикам заменять вы1юды
(подцепи) во время выполнения трассировки как для одиночных
11епей, так и для дифференциальных пар. Новая трассировка диф­
ференциальных пар разработана с применением технологий оги­
бания и расталкивания препятствий, а также автозавершения
трассы. В новую интерактивную трассировку шин добавлены все
возможности обычной интерактивной трассировки.
В Altiurn Designer Winter 09 внесены несколько изменений, ко­
торые позволяют расширить возможности разработки плат, на­
при�1ер: описание параметров контактной плошалки отдельно по
слоям, что необходимо при подклюtrении · отверстия с разными
значениями подключения на разных сигнальных слоях. Это позво­
ляет увеличить технологичность платы и плотность тополо­
гии. Смещение отверстия относительно контактной площадки, да­
ющее возможность использовать обобщенное посадочное место,
рассчитанное на установку компонента с разными корпусами. По­
вышение производительности графи•1еской системы при работе с
3D. Новый быстродействующий графический движок 3D, вне­
дренный в редактор плат Altium Designcr два выпуска назад, по­
зволил значительно снизить нагрузку на рабочие станuии пользо­
вателей. В Altium Designer Winter 09 система была оптимизирова­
на, з.:1 счет чего была не только увеличена производительность
обработки графики, но и уменьшена нагрузка апп.:1ратных средств.
Новые правила позволяют проверять проекты плат до форми­
рования выходных файлов, что в свою очередь ускоряет время пе­
редачи платы в производство.
Среди нововведений также можно отмстить, появление контро­
льно-измерительной панели, использующей программные инстру7
Введение
менты на основе ПЛИС, систб1ы упраu,1сния выпуском проектов,
возможность идентификаш1и компонентной базы по коду постав­
щика и поддержку импорта из системы Zuken Cadstar.
Программе Altium Designer в этом году исполняется 10 лет! За
это время в нашей стране были выпущены лишь две книги, посвя­
щенные работе в ранних версиях программы. Данная книга явля­
ется первой попыткой, наиболее систематизированного описания
проuессов работы в последней версии Altium Dcsigncr. Конечно,
разнообразие предыдущих версий вносит нсзна•1итсльные отли­
чия, но в целом по данному изданию можно научиться работать с
любой из предыдущих версий, начиная с Protel DXP.
В книге нс делается попытка описать весь инструментарий
программы, который настолько объемен, что для этой задаl,и не
хватит и нескольких книг. Также n�ред этим изданием не стоит
задача создать руководство с набором стандартных действий в
каждой ситуации. В главах этой книги представлен лишь подход
автора к решению конкретных задач, который был отработан на
учебных курсах по работе с Altium Designer, и нашел применение
на большинстве предприятий, где успешно применяется данная
программа.
<•Автор выражает признательность преподавателям кафедры
КТРЭС Владимирского государственного университета
(Панкову Л. Н., Евrрафову В. В.,
Руфицкому М. В., Аслонянцу В. Р. и др.)
за высокопрофессиональное обучение, давшее дорогу в мир разра­
ботки радиоэлектроники.
Автор выражает искреннюю благодарность Прановичу В. И. и
Татаринову В. Д. за полезные замечания и ряд преможсний, вы­
сказанных при написании данной монографии, а также коллекти­
ву ЗАО <•НПП «РОДНИК» и лично генеральному директору
Кириллову Ю. В.
за поддержку в подготовке данной книги!,>
8
Глава 1
Знакомство с платформой Altium Designer
Среди российских разработчиков печатных плат наиболее вос­
требованной является линейка программ P-CAD, о приостановке
разработки которой было объявлено в 2007 году. На смену при­
вычной не одному поколению конструкторов программе P-CAD
фирма Altium предлагает более проработанный и идеологически
полный пакет сквозного проектирования Altium Designer, более
известный под названием Protel.
1. 1. Системные требования и установка
программы
Прежде чем приступить к работе с системой Altium Designer,
необходимо установитъ ее на компьютер пользователя. Приобре­
тая программное обеспечение у офиuиального представителя, по­
льзователь получает 2 диска, содержащие дистрибутив для уста­
новки программы. Приступая к установке, убедитесь, что возмож­
ностей компьютера достаточно для корректной работы всех
приложений среды Altium Designer. Рекомендуются с,1едующие
системные требования:
• Операuионная система ХР, Vista (поддерживается с обновления 6.7)
• Проuессор Pentium 4 1,6 ГГц или аналогичный
• ОЗУ I rru
• Монитор 17", с разрешением 1280 х 1024 и выше (желательно)
• 2,2 Гбайт свободного места на жестком диске (для полной
установки)
• Видсокарта с поддержкой DirectX9.0C.
Обычно при установке 1-го диска из комплекта производителя
запускается автоматическая пошаговая установка системы. Если
программа нс запустилась автоматически, необходимо выполнить
это вручную, запустив Sctup.exe из директории Setup на ком­
пакт-диске. В пошаговом режиме рекомендуется оставить установ­
ки по умолчанию, после чего программа Altium Designer будет
установлена в папку C:\Program Files\Altium Designer
9
Глава 1. Знакомство с платформой Altium Designer
е>
l"'Ь-A(llll:YмettfW
�НtА� А0.У'Мt"М1W t
�,....pt,qНlht
Q
�МottN'/,......
дltlumOC!.wgncr WWtr
'-1 О'1
s,,.,...�,�
"5rtтnot•�
;е1._.,..,1.1912,ОС>'е
1•
�
.....
n q1>H""
��6�r'IC'U!IWI/Jf•
�r"'�'O
о Pl>dnu:N
,J..-.
t::7e.,.._,..
f:1"1'01.Ч\.'1-t)
fk'�npo,p--...
S>
После установки системы се можно запустить через меню
ПУСК (Start), по умол•�анию ярлык Altium Dcsigner добавляется
на первый уровень этого меню.
-------�При перВО!\1 запуске программы она
Wlnt1i:.,(!!.
�О!!
имеет вид, показанный на рисунке 1.1.
Кроме того, как показано на рисунке, мо­
tust011'12e...
гут быть открыты еще некоторые панели,
например Sheet. Стоит отметить, что в дан­
Systemlrlo ...
ный момент невозможно создать какой-ли­
R..,, Process ...
бо новый документ, или открыть существу­
ющий, так как все команды заблокированы
(погашены серым цветом) - это говорит
об отсутствии активированной лицензии.
Для активации и подключения лицензии
используется вкладка Му Accaunt, которая
открывается при выпо.1нен1111 ОХР> Му Accaunt.
На вкладке Му Accaunt необходимо выбрать две настройки:
во-первых, тип лицензии Standalone или Floating (стандартная или
1О
1. 1. Системные требования и установка программы
11лаuаюшая соотnстственно) и при выборе Standartalone - осуще­
стu,1немос деiiств11с:
Activate license t1si11g the \Veb (Аклш:щ11я через Интернет)
Лctivate lice11se via cmail (Лкпшаuин по э.1ектронной почте)
1) Add liccnse file (Добаnить ,1щ1ензиою1ый фай,1}
2) Dclcte license (Ушы11п, щщензию из списка)
1 . 1. 1 . Установка одиночной лицензии
При пepno�t запуске у nо,1ьзоuателя отсутствует линснзионный
файл II л.1я его по.1учен11я 11а11бо,1се удобно nоспользоваться акти­
ва11ией колов по электронной ПО'IТС.
Итак, для активаuии кодов и получения лицензионного файла,
в окне License Managemcnt следует выбрать Activate license via
email, после •1сго на экране появится окно, показанное на рисун­
ке 1.2.
В пустые полн данного окнн нсобхолнмо ввести номер пользо­
вателя и код актнвании. полученные от дистрибьютора, после чего
становится активной команла Generate e-mail attachment, при вы11
Глава 1. Знакомство с платформой Altium Designer
Рис. 1.2. Запрос кодов активации
боре которой будет прелложено сохранить текстовый файл. Сохра­
ните файл на жестком диске компьютера II затс\i, свернув окно
Altium Designer, перешлите этот файл по адресу activation@alti­
um.com. В течение нескольких дней на ваш по•поны11 ящик будет
выслан ответ, содержащий файл ,1rщенз11и с расширением *.alf,
который необходимо скопировать n директорию C:\Program Fi­
les\Altium Dcsigner. После проделанных лсйствий будут доступны
вес команды панной лицензии.
1. 1.2. Установка плавающей лицензии
Описанными выше действиями мы описали nолк..1ючение стан­
дарпюй однопользовательской линс11зии, кроме которой сущест­
вует так называемая плаваюшая (Float) тшензия. Эта лицензия
позволяет установить систему AJtium Dcsigner на несколько
компьютеров, находящихся в сети, при Э1ом рабо·,ать с програм­
мой может ед11новременно только один пользова1с,1ь.
Подключение п.:швnющей линснзии требует
установки менслжсра лицсюий. которан осу111ествляется из директори11 FJoating liccnse
Scrver Sctllp\Setup первого диска дистрибути­
ва. Запуск установки происходит n пошаговом
режиме и не вызывает трудностей. После уста­
новки менеджера лицензий его необхотrмо
запустить и указать, какие ко:-.шьютсры в сети
могут.пользоваться плавающей лиuензией.
Для запуска менеджера ли11снзиif :Jайшпс в димог Computer
Munagemcnt, л.1я чсrо нажы1пс ПК на иконке Мой компьютер 11
выберите Управление (Managc). Далее откройте список доступных
приложений (Serviccs) и запустите из этого сп11ска :.1енеджср лиuе­
нзий A ltium, как показано на рисунке 1.3. После этого n трсс поя­
вится иконка менеджера.
12
1.1. Системные требования и установка программы
Для управления компьютерами, имеющими доступ к лиuензии
необходимо запустить менеджер из трея и no порядку ныполнить
следующие действия:
1) Нажать кнопку Add license (рис. 1.4) и добавить лиuензион­
ный файл, а при его отсутствии нажать кнопку Activate и активи­
ровать коды, полученные от дистрибьютора. (Стоит обратить вни­
мание, что плавающая и стандартная лиuензия отличаются).
�.,,i:,..-t.rt.,.,..Ol"�a,�- М.,:,1.Ю:t N!.IF•• ,
.. C•«�wt ..
i«Jllfl9,,,.,
.;,,fl( .. ;;rl'Т,V
,Ьtt...-tmt:h�e
Р�•т
8Ь:Ь.�tU•��
l'J-t
�C-(1t.lCGeCI IВA
l't
P46ot«iT
·•
tllt[-<d•иr""1f\N\J..'1Tt�S...J��
,ЬC"tt.:f'-«,_,..,
.,G<щ,._., .....
tЬr:.11п1t.,,,
"'""-·
�ь....-.
Plll'wnaeт
_
,.,1f�'
6..t �
►
--
p,J.-.т•t
.,,...11)1,..... -.
Р�тае1
,.&,,..,
-..
,.
....,...,,,,..
.........
....
,
4.-....... ;,.и
.. ,,. __ _
апуск менеожера лицензий
o�s-о----• о_...,_,,,
v....,.eo.1SПJ
о авление плавающей лицензии
13
Глава 1. Знакомство с платформой Altium Designer
2) После добавления :111uснзии нужно нажать кнонку Configure
(рис. 1.5) и указап, компьютеры в сети, которые могут иметь до­
ступ к лиuензии.
Первыми двумs� шагами был создан сервер, от которого будут
брать лиuензию остальные ко:-.тьютеры в сети.
3) На одном из указанных компьютеров, •1ерсз лиалог License
Managemenr выбирается тип ли11енз1ш Floar, после чего шпомати­
чсски пронодитен поиск серверов в сети. При обнаружении серве­
ра, необходимо нажать кнопку ОК.
4) Далее в списке Product Name следует выбрап, нужную лине­
нзию и выбрать команду Use selected floating licenses (рис. 1.6), в
результате чего в графе Used должна пон1шться 1-1адш1сь ln Use.
t} t-'c...,,,W-11,•w"
-1,; Ru:11,1
А1D<!у
� А/ФУ
Гj ANIStt,(IV
дlНS•IOl!olE
ВUН1
G1oup1 / IJ•�•
----All�it-'IJV
- Alll w: 1i
дl,IURI Oe1.tgnet eкlмde1J teMw
20
Eli!>'),JIJV./16
GUН,,I _МАR.1/А
НР_СОМРАС. Н
JrlТ[f'INfl,$1,R'
v
-1
�-- ·- -2.
f1мн- tt::4 f,..,. }'V'Jk°,.,.,
111#.1
D/ZD/20
\ �~ !\ � 11
Concel
r ,-.� �
Рис. 1.5. Добавление пользователей к плавающей лицензии
Локальная
П.1авающая
1.6. Выбор лицензии на компьютере пользователя
14
1.2. Интерфейс пользователя
1.2. Интерфейс пользователя
Altium Dcsigner предоставляет широкие возможности для разра­
ботки электронных устройств, охватывая вес этапы программного
проектирования.
Все эти области различных этапов проектирования присущи раз­
делам одной, объелиняющей системе, встроенной в интегрирован­
ную платформу Dcsign Explorcr (DXP) функциональные возможно­
сти которой зависят от специфики приобретенных лицензий.
Интегрированная платфор!\tа DXP, лежащая в основе Altium
Dcsigner, запускается одновременно с любыми из редакторов и
программными процедурами Altium Designer. DXP, по суrи, пред­
ставляет интерфейс пользователя со всеми программными инстру­
ментами и редакторами.
Для знакомства с интерфейсом программы воспользуемся гото­
вым проектом, который находится в папке примеров Altium Desig­
ner. Предполагая, что программа Altium Designer уже запущена,
выполним File>Open, после чего в строке тип файла (Files of type)
выбираем Project file и открываем проект, из директории C:\Pro­
gramFiles\Altium2004\Examples\RefcrenceDcsigns\4 Port Serial lnter­
face (pиc. 1.7).
Choose DoclМlttnt to Орвn
п.-.. 1 � ◄ Pot1 s ... 1ru,foce
(l]r.gj
.svn
'll)Adaess De<odef
it;Ь№ory
d)tt,,or,os
@
Р.._.стол
�ы
Proj,<t Loqs for 4 l'ort s...i tnterfoce
1 Pcrt s..iol iлt<rfoce,PR:PCВ
Moli
""'4'WJТф
ат-..
Opon • proje(t or • fto from ve,sion С, Р(В 14о • �- • рсЬJ
1
РСВ IЬ"J! (" pcbli,, "11,J
Sсt-,,,,мьо О. Г schdoc; • sch; ".Ope,,llusJ
S<МIIOlc IЬOIJI Г. scНi>. " IЬ)
ran vers1or> cirьol
Рис. 1.7. Выбор типа файла
15
Глава 1. Знакомство с платформой Altium Designer
Файл проекта имеет расширение *.PijPcb, кроме него других
файлов в папке нет. Выбираем этот файл и нажимаем кнопку От­
крыть (Open). Вид рабочей области не изменится, а слева на пане­
ли Project появится отображение структуры проекта. Дважды щел­
кнув на ярлыке с назnанием ISA Bus and Address Decoding.SchDoc,
откроется лист схемы и программа примет вид, показанный на ри­
сунке 1.8.
Рис. 1.8. Интерфейс Altium Designer
Окно редактора состоит из набора меню
и панелей инструментов, рабочей области
и отдельных панелей, посредством которых
производится большая часть работы над
документами проекта в среде Altium Desig­
ner. Особое внимание стоит уделить меню
DXP, через которое осуществляется доступ
к наиболее существенным диалоговым ок­
нам системы, таким как настройки, обнов­
ления и т. д.
·16
!;_ustone•••
E,references••.
SY$tem ir/o ...
R1.r1 Process...
Check For �tes...
1.3. Управление панелями
Данное меню содержит следующие команды:
• Customize - перечень возможных команд и панелей инстру­
ментов,
• Preferences - лоступ к настройкам отдельных редакторов,
• System Info - перечень приложений доступных (в соответст­
вии с лиuснзией) для запуска на базе данной платформы
ОХР,
• Run Process - запуск процесса,
• Check for Update - проверка на наличие обновлений,
• Licensing - управление лиuснзиями,
• Run Script - запуск скрипта.
В отличие от всех остальных 11,-1еню, состав команд меню ОХР
не изменяется при запуске различных редакторов на базе плат­
формы Oesign Explorer, то есть данное меню относится ко всей
платформе, а остальные имеют принадлежность к активному ре­
дактору.
1 .3. Управление панелями
Панели рабочей области являются основным составным эле­
ментом среды Altium Designer. Эти панели могут быть специфиче­
ски настроены в определенном редакторе документа или исполь­
зоваться на более глобальном уровне.
При первом запуске программного обеспечения, ряд панелей
будет открыт сразу. Некоторые из панелей, такие как Files или
Projects, будут появляться группами слева от главного окна проек­
та. Другие, такие как панель Librarics, представляются в выпадаю­
щем режиме и появляются в виде кнопок на правой границе глав­
ного окна проекта.
В нижней правой части прикладного окна имеется ряд кнопок,
которые обеспечивают быстрый доступ к имеющимсн панелям ра­
бочей области, в зависимости от используемого редактора доку­
мента. Каждая кнопка помечена именем категории панелей, к ко­
торой возможен доступ. При нажатии по такой кнопке, появляет­
ся выпадающий список панелей указанной категории (рис. 1 .9).
Все текущие доступные панели рабочей области могут также
быть доступны из подменю View>Workspacc Panels.
для знакомства с операциями управления расположением па­
нелей откроем дополнительно панель Snippets. Для этого следует
17
Глава 1. Знакомство с платформой Altium Designer
.....
ClipЬoard
выбрать категорию System и в
Fc1vcяtes
списке панелей найти Snippets
(рис. 1.9). По умолчанию данная
Files
панель открывается в центре рабо­
lliaries
чей области как самостоятельная
МesSc!ges
одиночная панель.
().tp.t
В зависимости от активного ре­
Proj;>cts
дактора текущего документа, ряд
Snc,pets
панелей может быть доступен или
открыт в любой момент времени. . ...
Storage Маnа,]61'
Для облеr<1ения компоновки и ис­
�pler Seorch
пользования множества панелей R
То·Оо
рабочей области, предназна•1ены
различные режимы представления
Рис. J .9. Доступ к панелям
панелей и управления их свойстрабочей области
вами.
В Altium Designer поддерживаются три различных режи!\tа пред­
ставления панелей:
• Dockcd Mode - в этом режиме панель можно поместить го­
ризонтально или вертикально в пределах главного окна. На­
жатие ПК на заголовке панели и· выбор Allow Dock из выпа­
дающего :-.tеню позволяют выбрать возможности размещения
панели - горизонтально или вертикально.
При вертикальном размещении панель будет помещена справа
или слева в главно:-.1 окне проекта. При горизонтальном размеще­
нии панель будет помещена выше главного окна проекта (но ниже
панелей инструментов) или ниже главного окна проекта (но выше
строки статуса).
На примере открытой ранее панели Snippets посмотрим, как раз­
мещать панель в режиме Docked Mode. Для этого необходимо на­
жать ПК на заголовке панели и выбрать пункт Allow Dock и далее
указать доступный тип ориентации панели горизонтальный (Hori­
zontal), как показано на рисунке 1.1О. После выбора типа располо­
жения панели, необходимо разместить ее на нужном месте, для
чего следует захватить се за заголовок ЛК и начать перемешать. При
перемещении в нентрс панели появляются метки расположения па­
нели (рис. 1.11). Необходимо, не отпуская ЛК, переместить панель
за заголовок на нижнюю метку, после чего панель разместится в
нижней части рабочего окна, как это показано на рисунке 1.12.
'
18
1.3. Управление панелями
S
5fu
•Х
Close 'Sn,ppet:s'
<;ninn,,u_ FnldPtt..
AlowDod.
Maxirrae
Рис. 1.10. Вк.лючение режима Docked Mode
\ Snippets FolderL..
j
J
VНDL Bnghtne$$P.Ёt\e
А COfflOOatonal Look-Up Т 1Ю1е fOI lineмzr,tJ lhe hci,j,lne.s �
•
o,j.> �'1'"'•
'
� ..
Рис. /. / /. Метки размещения пан.ели
Pop-out Mode - в этом режиме панель будет появляться в
виде кнопки на граниuе рабочего окна. Если выполнить на­
жатие ЛК на кнопке панели, то это приведет к переносу па­
нели за граниuу окна. Повторное нажатие ЛК на кнопке па­
нели вызовет ее перемещение обратно. Кроме того, можно
просто навести указатель мыши на кнопку панели и через 2
секунды эта панель появится в рабочем окне, а убрать
всплывающую панель можно, выполнив щелчок ЛК в любом
месте документа. Символ вывода будет изменяться для ука­
зания режима:
- Панель в обычном режиме размещения
11 -: Панель в выпадающем режиме
Floating Mode - в этом режиме панель можно поместить rле
угодно, внутри или вне среды Altium Dcsigner. Это стандарт­
ный режим лля открытия панелей, если они нс были предва­
рительно установлены в режиме размещения или выпадаю­
щем.
19
Глава 1. Знакомство с платформой Altium Designer
IY<t.f"l/il.,.,....,
AC-C.-...-..t•� ,�-\o-тvhtJ.tr8o-мa,..,..d ....... -,dLI. С-а
А
•
Рис. 1 .12. Горизонтально и вертикально расположенные пан.ели
• .J
h:JIIJIIKII BLl30B8
<:крытых 11011e.1en
Рис. 1.13. Управление расположением панелей
20
1.3. Управление панелями
Рис. / ./4. Полупрозрачное отображение панелей
Плавающая nанель, помещенная поверх зоны редактирования в
rлавном окне проекта, будет представлена в полупрозрачном ре­
жиме, что позволяет производить интерактивные операuии в глав­
ном окне, в соответствии с опuиящ1, заnанным11 на странице Sys­
tem - Transparence диалога ОХР> Preferences.
Кроме оnисанных методов размсшсюrя панелей, они могут
быть сгруппированы посредством перетаскивания и фиксаuии од­
ной панели поверх другой. Результат отображения группы зависит
от того, rде точно была зафиксирована добавленная панель. Под­
держиваются два режима rруппироnюf панелей:
• Станлартная групп11роnка в виде вклалок (рис. 1.15) - этот
режим отображает набор панелей как группу вкладок, при
этом видима только одна (активная) панель в группе.
Для группирования панелей в этом варианте используйте сле­
дую1ш1r действия: перетащите нужную 1L1я побаnлен11я панель в
центр 1:11,1б;Jа11ной 11анелн (и,1и существующей группы) и зафикси­
руйте. Оrанжсnая стрелка позиционироnuния появится в правой
части вкладки выбранной пане.,и, укnзь11¾1я, в какое место группы
новая панель будет добавлена в качестве другой вкладк11. Голубой
полутоновый uвет также указывает, куда будет добавлена панель
относительно выбранной панели.
21
Глава 1. Знакомство с платформой Altium Designer
Разместим панель SCH l11spec­
tor, которую необходимо с1шчш1а
открыть, n группу к ш:н-rслш,1 Filcs
и Projects. Чтобы открыть ш111сль
SCH lnspector, откройте ка гего­
рию панелей SCH, в левой н11ж­
..-r•=ScIOoc
неir части окна и nыб1:рнтс 11з сш1� �,odd,onc ScIOoc
""'" -ScIOo<
ска панель SCH Jnspcctor, которая
:..IN-.ScIOoe
...o..--ScIOoc:
появится n центре рабо•1еrо поля.
•--a-Pal(l(IC
Далее добавим эту панель в группу
....,,,_�РСЪООС
панелей, указан11ую выше. Для
• 1,,..,._Ploc:..J l'CFOOC
•и--1\«m,О�l'СВООС
этого следует захватить ЛК за за­
•и-_A...i.df'C800C
5головок панели SCH lnspcctor и
u.переместить се u нентр группы па­
нелей, после чего появятся �1стки
Рис. 1.15. Группировка
группировки пане.'Iсй (р11с. 1.16).
панелей в нuiJe вкладок
В данный момент нас интсµссует
оранжевая стрелка в 1шжнеii част11
панели, которая nок:вывает распо,1ожен11е новой пане.111 n группе.
Расположив курсор в центре ,·руппы панелсii. отпускас:v1 Л К. по­
сле чего панель SCH lnspcctor лоб.шляется в 1,µуппу 11 в 1111жнсй
•�асти группы появляется соответствующая закла,1ка (рис. 1. 16).
Порядок размешсния панелей В гру11пе вкладок МО)!(СТ быт1., 113менен в любой nремя, нажатием на вкладке панели 11 нсремсще­
нием се правее или левее, 110 необход11�юсп1. Появится стрелка
позиционирования, �tарюrрующая по11щию n rрупповоii- после1ю-
-
�"'--
""
1�11_...,..., .. ,�
•
Рис. 1. 16. Группирование панелей в виде вкладок
22
1.3. Управление панелями
вательности, в которой будут расположены панели прн отпуска­
нии клавиши мышки.
• Фрактальное группироnание - этот :'\1етод отображает набор
панелей как фрактальное группирование, когда неско.,ько
панелей в группе видимы ошюnремснно.
Фрактальное группирование может содержать J!Ндивидуальные
панели и/или ста11дарn1ую группу nкл:щок. В ЭТО\f варианте груп­
па панелей создается просты:'\1 перетаск�tванисм добавляемой па­
нели в Rерхнюю, лев}'Ю, праnую и.1и нижнюю часть нс.1еnой панс­
_,и (или существуюшей 1руппы) 11 фиксанш1 се. Выuранное на­
правление определяет место новой панелн относительно основной
(при перемещении nозиuия новой панели бу,1ст показан.:� меткамн
nepx, низ, прано, лево - как на рисунке 1.16).
в
1 OЬiectr.m
4 Poil Senal lnt11f_,. PRJPC8
Sourco Doo:uno:d•
____ _
4 Pal UAAT and l,,. Q,,..., Scti>oc
fSA Buo ..,., Мdlf,,:Orcodr<I ScIOoc
"'
о..,,,...,..,
tw..i
�....ed
щ,laylolodt
�
Рм
5Э)
�о_...
О
о11
U,,Jo
•e<lo
C1rl•Y
c..t
Cfll•X
Сору
�
°""
SmanPo::I•
с,,,.с
C1rl•V
C\rl•ShWl•V
nolme
...,
24-PIN l ll V{RSATIЦ f'AI.Of.VI
10
...
Рис. 1.17. Фрактальная группитюпка r.a>t."Aeй
Прн псрс�rешении пан.-:ли поверх uсновно11. затс�шенис бy.iJCT
очевидно (рис. \.!8) - используйте это дм, 11u�1ещсю1я r;ансл11 о
требуемое место. Убедитесь, ч,о оранжевая c·i·pe,11-:,1 rюзиuионирп­
nания нс отображается при добаn.•1сю1и панс.,и, 1111аче 01-ш булст
добавлена как дополн11тс,1ьная nк;1а.:-tка панс.111 (станд;,ртны,1 с:�с­
собом).
23
Глава 1. Знакомство с платформой Altium Designer
�••С>№Т'О"-*•
е:-.
Р.!Zиц
ct.ectr,dr,... ..,o...,'8.CI'
F:c•••-
J;.,м.J •�r.-.--.1eil �к.ь-,. J
0�o.J
JtOI'\ c-�lrr��
'11
6tJI
r.мr,мм
00..,.,.,
['1�
L«;fod
ПL.RSЦ'OFll\'Efi
!Ш
У1
о­
........
Рис. 1.18. Процесс фрактальной группировки панелей
Панель :-.южно закрыть щелчком ПК в строке заголовка (или на
вкладке, если она доступна) и выбором Closc из последовательно­
сти выпада10111их меню.
Используйте <•крестик закрытия» в правой части заголовка па­
нели мя закрытия одиночной панели. Однако,· если панель явля­
ется частью группы (фрактальной или стандартной из вкладок),
используйте этот крестик мя закрытия всей группы панелей.
В плаш1юшс!'>i режиме панель может быть максимизирована
щелчком ПК на строке заголовка (или на доступной вкладке) и
оыборо:--.1 команды Maximize из последовательности выпадающего
меню. Для возврата макеимизированной панели к ее прежнему
размеру, выполните нажатие ПК на строке заголовка или на
вкладке и укажите команду Restorc из выпадающего меню.
Также, :-.южно дважды щелкнуть на строке заголовка мя пере­
ключения между состояниями максиr:шзации и восстановления.
1.4. Проект - как основа разработки
1.4. 1. Типы проектов в Altium Designer
Начальной точкой каж.1юго конструктивного решения в Altium
Dcsigner является проект. Проект представляет собой набор-доку24
1.4. Проект - как основа разработки
ментов, чьи данные предназначены л.ля разработки олного са!\ю­
стоятсльного изделия. Например, файлы схем и платы в виле од­
ного проекта платы представляют собой набор файлов длн 11ЗГО­
товления одной печатной платы, в то врс:-.1я как схема н текст в
формате HDL в проекте ПЛИС прелставляют собой набор фай­
лов, необходимых для програм:-.111рования единственной ПЛИС.
Комплект документов, которые создают проект, фор�1ируется
совместно с файлом проекта. Фай.1 проекта содержит все установ­
ки, включая связи с каждым локументом в проекте н все nроект­
но-зав11си�1ые олuии. Каждый документ в проекте записывается
как отдельный файл, который связан с проектом через относите­
льные ссылки к файлам на одном и том же логическом устройстве
или абсолютные ссылки на файлы на разли•1ных логических
устройствах. Выходные данные, генерируемые ю проекта, также
ссылаются на проектный файл.
Altium Designer поддерживает различные типы проектов. Ниже
кратко рассмотрены основные типы проектов.
Проект платы - РСВ Project (*.PrjPeb). Набор документов, не­
обходимых для изготовления печатной платы. Электронная схема
вводится в редакторе схем, создаваемая из б11б,1иотечных симво­
лов, которые размещаются на листе и соединяются проводниками.
Проект перелается в редактор плат, глс каждый компонент прел­
стаn.лястся как посадочное место (корпус) и проводниюr на схеме
преобразуются в соелишпельные линии от вывода к вывалу.
Определяется окончательный вид платы, совместно с физ11чсскн­
ми слоями платы. Описываются правила проектирования мя из­
готовления фотошаблона, такие как ширины проводников и зазо­
ры. Компоненты размещаются в прелелах контура платы и соеди­
няются линиями связей, которые затем заменяются трассами,
вручную или автоматически. Когда проект закончен, генерируют­
ся выходные файлы в стандартных форматах, которые можно ис­
пользовать для изготовления платы, установки компонентов на
сборочной машине и т. д.
Проект ПЛИС - FPGA Project (*.PrjFpg). Набор документов,
которые могуr быть обработаны для программирования nлис.
Проект создается с помощью редактора схем и (или) програмш,­
рованисм на языке HDL (YHDL или Yerilog). Добавляются фай,1ы
ограничении в проект для описания требований проекта, таких
как программируемое устройство, внутреннее распрелсление вы­
водов для uепей и устройств, требований к быстродействию цепи,
25
Глава 1. Знакомство с платформой Altium Designer
определения частот на выводах и т. п. Синтез проекта транслирует
исходные данные в набор вентилей низкого уровня, в стандарт­
ный формат файла, известный как EDIF. Инструментарий произ­
водителя устройства затем обрабатывает данные EDIF и пытается
разместить и трассировать проект таким образом, чтобы встроить
данные в указанное предназначенное устройство, производя про­
граммный файл устройства. Проект затем может быть применен в
предназначенном устройстве, установленном в плате отладки
Nano Board.
На этом выполнение собственно проекта ПЛИС завершается.
Однако, запрограммированная микросхема нс может висеть в воз­
духе: в любой аппаратуре пользователя ПЛИС бывает окружена
uелым рядом ко"1поне1пов - резисторов, конденсаторов, микро­
схе,1 более низкой степени инт�гра11ии или еще нескольких
ПЛИС, э.1екгрическими соединителями и т. n. Поэтому заверша­
ющей стаю1ей реализации такоrо проекта является исполнение
всего такого устройств.� в виде ячейки (!\<юдуля) на печатной плате,
т. с. выпо:1нсш1е пrюекта печатной платы, на которой ПЛИС вы­
ступает как обычный компонент, наравне с остальными.
Интегрированная библиотека •- lntegrated Library (*.IntLib).
Имя файла оболо•1ки "'.tibPkg; ичн файла библиотеки *.IntLib.
Условные графические отображения и посадочные места компо­
нентов формируются в редакторе библ11отек щ1я создания интег­
rированной библиотеки. Символы ко'-iлонентов дпя схемы вычер­
чиваются средствами библиотечного редактора сим,юлов, и дЛЯ
них определнстся мол.t:лыюе представление. К символу мoryr быть
добавлены четыре типа моделей, например описание посадочного
места компонента на ппап\ данные д-'!я схемного моделирования,
,юделирования uелостности сшналов и трехмерные модели. Фай­
лы, содержащие модели, добавляются в Iнtegrated Library Package
(*.LibPkg) или определяются пути поиска дпя идентификации их
рисположения. Исходные схемные биб.1110тсчю,1е символы и тре­
буемые модели затем компилируются в единый файл, наJывасмый
интегрирован,ной библиотекой.
Встроенный проект - Er:1bedded P1ojcct (*.PrjEmb). Набор до­
кументов, необходимых для прои:�водства прикладного nporpaм­
\iHOro обеспечения, которое может быть применено в части управ­
ляющего процессора в электронном устрой_стве. Исходный проект
фор\шруетt:я нu языке С и (юш) ассемблере. После завершения
кодирования, все ,1а:;п1 и::ходнL1х файлов компилируются в фор26
1.4. Проект - как основа разработки
!\taT языка uссе:-.1блсра. Ассемблер затем конвертирует этот текст n
чашинныii язык (оGъсктный кол). Объектные файлы затем связы­
ваются вместе (кт.нюнуются) и раз�1еuшются в пространстве опс­
рат11вной памяти, форм11руя ещ1ный, uслсвой выходной файл.
Скrтпт-проект - Script Project (*.PrjScr). Прогрнммированис в
среде Altiшn Dcsig11cr, 111\tеюшее uелыо :-.юдификаuию объектов ll
:_�руп1х открытых проектах. Для управления 11спользуется интср­
фl'iiс прог;-,а1.1мщюв�1шя пр11;1ожс1шii
(Application Program111i11g I11terface).
лrt
1.4.2. Панель Proj�cts
Эта панель, ноз�южно на11болсе часто испо,1ьзусмая панель в
Altitim Desig11er. Панель Projccts представляет обзор структуры н
доку:--.1ентов проекта. Пр11 открыти11 проекта его документы ото­
бражаются, как показано на рис. 1. 19.
Позволяя открывать несколько ;юкуl\1ентов для редактирова­
ния, эта среда также полдсрживает открытие многих проектоu
ол.нонремснно. Иl\1и 1\юrут быть
не сuязанные проекты и доку­
че1 пы, или они могут быть свя­
занньши, как 11оказано на
рис. 1.19. Здесь имеется 3 свя­
занных проекта - проект пла­
ты. которLrй содержит ПЛИС,
11роект JL1Я этой ПЛИС, кото­
рый
проrрам­
содерж11т
�1но-01111саш1ый пронессор и
..н
встроенный проект для про­
� :;,,,.,м.......
гращ.нюrо обеспечения.
Рсдакп1руеl\1ый текущий до­
Vf!Wll\�QJ
кумент рас�!\1атр11вается как ак­
:iJ Lc...:elt-ч,c,y
тивныii документ, по_r1с1-1еL1ен­
� е,о,..1Р«1-ь,.. ..
ный на панели. Когда же име­
)
iJ
ется
открытых
множестuо
-----.-----s,,..,,
tь.�.,
проектов для редактирования,
а1 v��s,c Pti".Ь
f-�:)н.r...c.,O�
пrостой путь д,1я выполнения
t:-,�h
команд кuсающихся докумен­
Рис. 1.19.
тов в выбр,шном проекте - это
Работа с панелью Projects
11СПОЛЬЗ0Ва!ШС нажат11я
на
@.IIQIIINI'... � D.tntkn,.
�-°""'"'··
........
�1.а -·�-
пк
27
rлава 1. Знакомство с платформой Altium Designer
имени проекта в панели Pro­
jects (рис. 1.19). При этом, поя­
вится контекстно-чувствитель­
ное меню, где можно nыnол­
нять действия над документами
проекта независимо от того,
принадлежит документ к этому
проекту или нет.
Для панели Projects имеются
несколько опций отображения.
Рис. 1.20. Управление
Режим отображения по умолча­
представлением панели Projects
нию показывает документы
проекта, сгруппированные в
различные папки, такие как Source Document, Libraries, Settings и
т. д. Эти опции устан авливаются на вкладке System> Projects Panel
диалоrd ОХР> Preferences. Быстрый способ доступа к этой панели
выполняется нажатием ПК на кнопке в верхней части панели, как
это показано на рис. 1.20. (Подробно эти настройки описаны в
разделе «Системные настройки (System),>)
Отмстим, что папки, показанные в панели Projects, в действи­
тельности могут быть сохранены не в одном месте на жестком ди­
ске. Они только осуществляют помощь в предст�влении докумен­
тации проекта в удобном виде, то сеть показывают лишь логиче­
скую связанность документоn, а не физи•1ескую.
Документы в груп пе (например, Source Documents) отобража­
ются в последовательности добавления в проект (который так же
отображается в порядке создания). Для изменения порядка доку­
ментов в отображаемой папке, нажмите ЛК, перетащите и зафик­
сируйте документ в t-tовой позиции. После завершения создания
проекта, исходные документы отображаются в порядке иерархии
проекта.
Отметим, что невозможно построить иерархию посредством пе­
ремещения документов в проекте. Отношения между главными и
подчиненными документами в проекте· определено позицией сим­
вола листа в структуре главного листа.
Если панель Projec ts не является активной и видимой, ее мож­
но открыть нажатием кнопки System в нижней правой части рабо­
чей области и выбрать Projects из появившегося меню, как это по­
казано ранее на рис. 1.9.
......
28
1.4. Проект -как основа разработки
1.4.3. Создание проекта.
Управление документами в проекте
Используйте опшш в под!\1еню Filc>New>Projccts дnя создания
нового проекта, как показано на рис. 1.19. Отмстим, что проект­
ный файл существует ТОЛЬКО В ПЮ,1ЯП1 ПРИ ПСрОИЧНОI\I создании,
поэтому используйте команды Save или Save As для сохранения
его с необходимым назnанием на жестком диске. Имена файлов
для проектов FPGA, Соге II Embcdded нс лолжны содержать про­
белы. Каждый НО[IЫЙ проект реко�1ен..1устся сохранять под уннка­
ю,ным юrенем, дnя чего используется ко:-.1анда File>Savc Project
As ... После создания проекта н сохранения его в нужном месте,
возн;1кает необходимость добавления документов в проект. Самый
простой способ добавления новых или существующих документов
в проект - это нажать ПК на названии проекта в панели Projccts
и выбрать из выпадающего меню Add Ncw to Projccts (Добаnить
новый документ в проект) или Add Existing to Projects (добавить
существующий документ в проект).
В первом случае открывается контекстное меню следующего,
нижнего уровня с перечнем возможных типоu подключаемого до­
кумента:
1. Schematic - схемный документ;
2. РСВ - файл печатной платы;
3. Schematic Library - библиотека схемных символов;
4. РСВ Library - библиотека топологических посадочных мест;
5. САМ Document - документ САМ-программы;
6. Output Job File - файл выхолных данных дnя обработки;
7. Databasc Link File - файл-указатель связи с базой данных;
8. Text Document - текстовый документ;
9. Other - прочие документы.
В случае подключения существующего документа (Add Existing
to Pюject) открьшается стандартная процедура поиска файла. Най­
денный файл включается в состав документов проекта.
В обоих случаях 11мя файла подключенного документа включа­
ется в дерево документов проекта. В случае подJUJючения графиче­
ских документов- схемного файла, файла печатной платы, биб­
лиотеки схемных символов или посадочных мест одновременно с
появлением имени документа в дереnе про- екта открывается лист
соответствуюшего графического редактора - пустой либо занятый
обQсктами подключенного документа.
29
Глава 1. Знакомство с платформой Altium Designer
Сохранить подключенные к проекту новые (пустые) докумен­
ты, указывая на них, по очереди, курсоро:-.1 и активнзируя каждый
раз команду главного меню File>Save As.
Для исключения документа из состава проекта шслчком правой
клавишей на имени документа в дереве проекта активизировать
контекстное меню и указать в нем команду Removc from Project.
Кроме создания внугри программных файлов, также имеется
возможность добавлять в проект друтие проектно-связанные доку­
менты, такие как файлы Word или Adobe PDF. При добавлении их
обычным пугем (Add Existing to Projects), в диалоге Choose Docu­
ment to Add необходимо установить тип файлов (File Туре) пля
просмотра в All Files (*.*).
Отмстим, что невозможно переместить проект, выполнив
команду Save As над документом проекта и сохранив его в новом
месте - данное действие только сохранит документ проекта в
этом месте. Это также обновит все связи в документах, которые
содержатся в проекте, организуя связи с новыми документами
проекта на старом месте, где в действительности сохранены доку­
менты проекта. Кроме того, панель Projects не предназначена мя
управления файлами, для выполнения данной задачи необходимо
переместить документы проекта средствами операuионной систе­
мы, через проводн,ик.
Нередко имеются проекты, которые являются связанными, на­
пример, изделие может солержать несколько печатных плат или
возникает необходимость сгруппировать вместе различные версии
проектов плат. Такое объединение проектов возможно посредст­
вом создания группы проектов (Design Workspace).
В действител1,ности панель Projects отображает текушую группу
проектов - либо олну по умолчанию, либо ту, которая создана
или открыта. Для сохранения текущего открытого набора проек­
тов в виде группы проектов следует нажать кнопку Workspace в
верхней части панели Projects или использовать соответствующие
команды в меню File (например, Savc Design Workspace As - со­
хранить группу проектов и Open Design Workspace - открыть
группу проектов).
Прежде чем создать новую или открыть существуюшую группу
проектов, должна быть закрыта текушая группа проектов, при
этом будет получено предупреждение о закрытии всех нс сохра­
ненных ранее документов, проектов или изменений в тскушей
группе проектов.
30
1.5. Базовые элемвнты работы в среде Altium Designer
• Alllld 0..\1181 Wl81fr 09 · \1-U>CS,c.Prjlmll. LЬ-d 1D 11Dt111" SP[, 1111:.. rtat
,ti,,e. 1n.
Opono.<l!J, Wc,,\,p,,<1.,,
S,,.P,ojкt
S...PrOJO(tN"
S.,.C..,,,,_k_•
s...o.,q,w...._.... .
С �•POF •
"'°"'' .....,....
�
l••<Oa<.,_.,
.�
-- - .::: СА!:!�
S,ocwt oac.-cs
JсЬ f..
• .• D.t.i- i.ц н.
Рис. 1.21. Выбор типа проекта в выпадающем меню
File>New>Projects
1.5. Базовые элементы работы
в среде Altium Designer
1.5. 1. Навигация по документам проекта
и масштабирование
В системе Altium Dcsigner каждый вид документа открывается и
обрабатывается соответствующим редактором. Например, схемные
документы открываются и обрабатываются в редакторе схем
(Schematic), библиотеки посадочных мест - в редакторе библио­
тек (РСВ Lib) и так далее. При создании нового документа или от­
крытии уже существующего, соответствующий редактор для этого
типа документа становится активным редактором.
Навиrаuия по проекту осущестnляется при помощи панели
Project, и при нажатии вкладок переключения документов.
Для рассмотрсн�-tя приемов навиrаuии· откроем остальные 2 до­
кумента, содержащиеся в открытом ранее проекте. При открытии
документа, он становится активным документом в главном окне
проекта. Несколько документов можно открыть одновременно,
31
rлава 1. Знакомство с платформой Altium Designer
что и было сделано ранее. Каждый открытый документ имеет соб­
ственную nклалку в верхней части окна, 110 только один документ
является активным в этом окне. Рис. 1.22 показывает три откры­
тых документа - одну плату и две схемы - пр11 этом активной яв­
ляется плата.
Активный документ выделен подсветкой его вк_r1адки. Длн того
чтобы активировать другой открытый документ, нужно просто
щелкнуrь его вкладку. С другой стороны, :-.южно использовать rо­
ря•1ис клавиши Ctrl+ ТаЬ и Ctrl+Shift+ТаЬ для uикличсскоrо обхо­
да nпсрсд или назад всех отрытых документов.
Для компиляции исхолных документов необходимы различные
средства и, при нсобхолимост11, ко:-.шилнция выполняется автома­
тически. Чтобы это можно было выполнить, все такие локумснты
необхолимо предварительно открыть. В зависимости от проекта,
число документов JL'lя ком11иляuии может быть раз.'!ичным. Чтобы
сделать все документы открыты:-.1и, как доку:.1снты со своими
вкладками, в главном окне проекта можно создать рабочую об­
ласть с произвольным размещением се элементов. С этой uслью в
системе предусмотрена возможность скрывать документы. Они
полностью отвечают трсбован11нм к открытым локумс11там, таким
"
1 •.11"0....,,., 5'Нх
.._ --..-�""""j;7;
-./',у
.
-
Рис. 1.22. llескольк.о открытых док.умен.тов в главном проектном окн.е
32
1.5. Базовые элементы работы в среде Altium Designer
как возможность компилянии, перекрестных ссылок, но не пока­
заны на экране в главном окне проекта.
Любой открытый документ можно сде.,ать скрытым, выполнив:
• Нажатие ПК его вкладки и выполнить команду Hide
• Нажатие ПК на это�r компоненте в панс,,и Projccts II выбрать
команду Hide.
Скрытые документы перечислены в выпадающем меню рядом
и правее панели вкладки локумента, при этом на вк.1адке указано
общее количество скрытых документов данного типа, которое со­
держит вкладки л.пя этих документов (р11с. 1.23).
(9)��hDot
Мorita .SchOoc
� Powe,.Sd,r;,,,c
[iil ОЩхt CNmel.SchOoc
� � Polt UМt n � Dr!V'Ns,Sct-Ooc
[iil JSA 5u5 аоо Addi"Ss iж�.s,hroec
i-'u'c. ·1 .:.tJ: 'доступ к скрытым покументам
Шелчок на компоненте в списке
отменит состояние невидимости
документа, и он повторно появится
в виде вкладки для этого документа
в главном окне проекта. Главное
меню Window и документы меню
от ПК мыши на панели Projects
также содержат команды JL1Я отме­
ны невидимости документа.
Как часть опций General для
панели Projects (более подробно
будет описано ниже) можно акти­
вировать отображение иконки с
<<ОТ­
статуса
отображением
крыт/модифинируется». Это по­
зволяет быстро понять, какие до­
кументы открыты, скрыты или
были изменены (рис. 1.24).
2 зак. 32
:-=--=---
Wo,t,-.eJDsrl,,{,I..
P,
0rr1ov..., QStт..duoeEdlor
1.4.-. f'(B
Пконки
открытых 11
измененных
документов
1.
• м,..._LмdсdРСВООС
- Mue,_Pl,,.ed f'l:13DOC
Рис. 1.24. Отображение
иконки статуса документа
33
r.17ава 1. Знакомство с платформой Altium Designer
Для облегчения рассмотрения такие иконки показаны ниже.
Записи в скобках показывают текстовую подсказку, пояпляюШ}'lО­
С}! при наведении курсора на иконку.
г�
<--::__] (opcn) - Документ открыт 13 :.пой сессии
;-----.,
�1 (hidden) - Документ скрыт в этой сессии
·D
ъ,
L:=:-__; (open/modificd) - Документ открыт 11 был изменен
(требуется сохранение)
� (modified) - Проект был изменен (требуется сохранение)
Модифицируемый дОК)'\tснт, проект и,1и группа проектов, ко­
торая должна быть сохранена, также поме'lаются звсздо'lкой ря­
дом с зап11еью элемента в панели. Модифиuируе:-.1ый документ
та�оке поме•1ается звездочкой внутр11 соотнстстnуюшей вк.,1адки n
главном окне проекта.
Altiвm Dcsigner не ограничен n просмотре и работе с одним до­
КУментом. И�1сютея раз.,1и•1ные команды, позволяюшис эффекпш­
на управлять открытыми документами и r-.1енять раз:1ичныс nари­
а1-1rы положения окон.
Нажатие ПК на вю1адке 'документа обеспс•11113аст дое1уп к раз­
личны�� командам управления отображение:-.� окон открытых доку­
ментов. К ним относятся команды Close (закрыть), Save (сохра­
н11�ь), Hide (скрытия). а так же команды у11рамен11я отображени­
сr-.1 всех открытых документов в предслuх г.1,шного окна проекта
(PJ.tc. 1.25).
-� ,......,_.sa()oc.
м-Sct,t,oc Jn,,..,,..;,,,_�•-�
1
do<,, S,}.em.,,1< Oown,nts
о- А100.,, �
OY..eAIDocunents
1----- ---
2.Zuf
Нdе f,lof1io, 'Sc:t'Do< •
ltd, � Docuтoe,u
Нdе А1 Ctt. Do<­
tt(l,- Al [)ocume,ts
VR5
·1okLOG
t6eAI
_____,
C:i'ND 1-м._,00_1.J
.
Optn!nNowWndow
Рис. 1.25. Команды управления документами
34
1.5. Базовь,е элементы работы в среде Altium 1signer
Команды для разделения главного окна проекта на гооонта­
льные или вертикальные фрагменты (Split Yertical и Split .orizon­
tal), моrуг оказаться удобными при необходимости сравншя, на­
пример, схемы и платы, открыв их одновременно в одно· окне.
При таком разделении экрана, документы проекта µеют как
бы самостоятельные окна.
Кроме этого имеются команды Tile АН и Merge All, к(орые по­
зволяют располагать все открытые окна документов в вле мозаи­
ки и сворачивать все окна, nозnращаясь к работе с однм окном.
При необходимости, имеется возможность открытьюкумент в
отдельном проектном окне. Для этого нужно нажать П� на nклаn.­
кс и выполнить команду Open in New Window. Или ж· нажать ЛК
на вклаn.ке документа и перетащить его в область экрt1а, вне пре­
делов главного приклаn.ноrо окна проекта.
Затем появляются доступные команды в главноr меню Win­
dows>Arrange АН Windows Horizontal (Yertical) для �асположения
окон горизонтально (рис. 1.25) или вертикально.
Навигацию по открытому документу попробуе1 на примере
РСВ файла. Для перемещения изображения по экрr.-�у имеется не­
сколько возможностей. Во-первых, это стандартн.я полоса про­
крутки. Во-вторых, при помощи колеса прокрутки(sсгоll) изобра­
жение перемещается вверх-вниз, а при прокрутке5сrо11 с нажатой
клавишей Shift изображение перемещается влево-,3право. В-треть­
их, нажав ПК (указатель мыши при этом имеет 111д лап.они ) и, не
отпуская ПК, путем передвижения мыши изобракение перемеща­
ется в любую часть экрана.
Масштабирование изображения осуществляе-ся при прокрутке
scroll с нажатой клавишей ctrl, или при нажа1Ии клавиши Page­
Up - увеличение масштаба, PageDown - умеJ-f>шение.
Для навигации по документу также предн.uначены команлы в
выпадающем меню Yiew (рис. 1 .26). Ниже описаны все команды
меню Yiew используемые для навигации и масштабирования.
• Fit Document (сочетание клавиш Ctrl+PgDn) - позициони­
рует весь документ в размер экрана, 1
• Fit Shit - позиционирует весь лист в размер экрана,
• Fit Board - позиционирует плату в размер экрана (в редак-.
торе схем есть аналогичная команда Fit All Objects - распо­
лагает все элементы в размер окна),
• Агеа - позиционирует выбранную площадь в размер экрана
(обычное увеличение рамкой),
2·
35
Глава. Знакомство с платформой Aftium Designer
Proje�t
Ejace
Q.esic;)n
Fit Q.ocument
E.it АП Objects Ctrl+PgDn
В,rеа
Around eaint
5@.lected OЬjects
Underliried Connect!ons
►
�0%
!OQ°I.,
l00%
100"/о
� Zoom !n
PgUp
� ZoomQut
PgDn
�oomla�t
CJ
PaQ
Home
В.efresh
End
F�Screen
Alt+FS
Рис. 1.26. Комtнды масштабирования и навигации tio документу
• Around Роiн - пози ционирует выбранную площадь вокруг
указанно то1ки в размер экрана,
• Selected Obje:ts и Filtered Objects - позиционируют в размер
экрана оыдеJ"енные и отфильтрованные объекты,
• Zoom ln, Zoo Out - уменьшение и увеличение,
• Zoom Last - �звращает предыдущий масштаб,
• Pan - персме ет изображение под курсором о uентр экрана,
• flip Board - показывает перевернутое на 180 градусов изоб­
ражение платы,
• Refresh - обноВJ\ение изображения,
• Full Screen - nо3r1uионированис рабочей области во весь эк­
ран, при этом скрываются все панели, полосы прокрутки и
строки статуса.
36
1.5. Базовые элементы работы в среде Altium Designer
1.5.2. Работа с двумя мониторами
Altium Designcr полдсрживает ;ша �юнитора с рекомендуемым
разрешение:-., 1280х 1024. Для 11спол1.,зован11я второго монитора,
необхолимо в настроiiках экрана nыбрать опuию <<Расширить pa60•1иil сто,, на второй монитор,>. После этого можно будет 11споль­
зошпь один монитор для работы с Altit1m Designer, а второй д,1я
осп1лы1ых пр11:rоже1111й. Т::1кже, имеется возможность открыть раз­
ные приложения Altium Designcr на днух экранах, наиболее при­
менимое использование - открыть на одном мониторе схему, а на
лругом плату.
Чтобы открыть второе окно AJtil\111 Designer на доnол1штельном
монитор1:, необхол11мо перетащ11т1., nклалку одного из открытых
доку�ентоn 1ш рабочий стол rпорого монитора. После этого при
по11ытке з:1крыть программу будет появляться диалог (рис. 1.27), n
которо�1 задается вопрос о нсобход11мосп1 закрыть только текущее
окно (Close tl1is windo\v 011\у) 11ли nсю программу (Closc Altit1m De­
sig11er).
f1
♦ Ck:,se A'tlun" De5ig-н
Тhs wf �r.t ,...
� ,(_-ir- ,)t01
! Can:PI 1
Рис. 1.27. Диалог при закрытии
37
...
Глава 1. Знакомство с платформой Altium Designer
1 .5.3. Общие графические команды
Прежде, чем приступить к изучению отдельных редакторов
программы Altium Dcsigner стоит ознакомиться с набором команд,
который является стандартным для всех редакторов. Все эти
команды сгруппированы n группе Drawing Tools меню Utils
(рис. 1.28).
/ :s (-'1 J\
Ar:JDO
о (5 [1)
Рис. 1.28. Команды •рисования•
Команды этой группы доступны из всех графических редакто­
ров в разных модификациях и перечислены в таблице 1.2.
Таблица 1.2
Пиктограммы основных команд •рисования�
1
Пиктограмма
Place Une
�
[В
Q1]
[А]
�
[QJ
[gJ
(00
!
Размещение.линии
r
Размещение поли о�---i1
EliplicaJ Arcs
РPlace
асе Вeziers
Размещение дуги
Тext St ing
r
Р1асе Тext Frame
Размещение сллайна (кривая Безьера) j
Размещение текстовой строки
се Rectangle
Р1асе
Размещение текстовой области
РPlace
а Round Rectangle
Размещение прямоугольника
Place EJlipses
Размещение прямоугольника
со скругленными углами
1
Размещение эллипса
1
Pie Chart
Р 1асе
асе Graphic lmage
Р
38
.
Place Polygons
1
r;
Назначение команды
Название команды
..
1
Размещение сектора круга
Размещение рисунка
!
1
1.5. Базовые элементы работы в среде Altium Designer
Размещение графических объектов и текстов в Altium Designer
11меет некоторые особенности, не привычные разработчикам, ра­
ботавшим ранее в P-CAD или :-.-1еханических САПР. Рассмотрим
nорядок использования данных к0манд на примере наиболее вос­
требованных (Place Line, Place Ellipses и Р\асе Text String). Для
просмотра этих команд создадим фай,1 схемы File> New>Schematic.
1.5.3.1. Размещение линии
Находясь в редакторе схем, выполним команду Place Line из
меню Tools, после чего к курсору будет nрикрсп.1ен крест 11 про­
грамма перейдет n режим р11сования ,1и�ю1. Прежде чем размес­
ппь .1инию, нужно задать илн проnсрить се свойства, для чего
следует нажать клавишу ТаЬ. В свойств:.�х линии �южно задать не­
сколько параметров, наибо.1се важный из которых linc Width
(толщина). Более подробно параметры линии описаны в п. 2.2.2.2
(пока эти настройки нс существенны).
После описания свойств, нажимаем кнопку ОК и прист упас�1 к
рисованию линии. Во времн рисования наж:.�тие левой кнопки
мыши фиксирует окончание отрезка, клавиша Space меняет угол
наклона линии, а правая кнопка мыши завершает рисование ,111нии. Стоит. отмстить, что рисуя последовательно несколько отрез­
ков, они впоследствии будут созданы как единый объект
(рис. 1.29), •1то затруднит последующее рсдакт11рование. Чтобы из­
бежать этого, нужно после размещения каждого отрезка 11аж11мать
правую клавишу мыши и затем на•1инать р11соnан11е с.1едующсrо
отрезка заново.
Рис. 1 .29. Рисование линии
1.5.Э.2. Размещение окружности
Рисование окружностей так же ю.1сст ряд особенностей. Вы­
полним команду Place Eliptical Arcs, хотя окружность можно нари­
со вать и с помощью команды Place Ellipses. Далее наж11масм кла­
вишу ТаЬ, забегая вперед, отметим, что эту клавишу рекомендует­
ся нажим:пь всегда при рю�1сшснш, как11х л11бо объектов во всех
39
Глава 1. Знакомство с платформой Altium Designer
редакторах! В свойствах дуги (рис. 1.30) имеется возможность из­
менить следующие параметры:
• Line Width - толщина линии;
• Х(У) Radius - радиус no оси Х(У);
• Start(End) Angle - начальный (конечный) угол дуги;
• Color - цвет линии;
• Location - расположение центра дуги.
Параметр Location не стоит задавать до размешения окружно­
сти, его можно редактировать на последующих этапах работы. На­
пример, нарисуем окружность диаметром 10 мм. Для этого нужно
задать параметры согласно рисунку 1.30. Несмотря на то, что па­
раметры задаются в свойствах дуги, при размещении программа
требует указание этих значений с помощью мыши, поэтому после
нажатия кнопки ОК, нужно будет последовательно указать распо­
ложение uентра окружности, радиус по Х и радиус -по У, а также
начальн ый и конечный угол. В нашем случае это нужно сделать
условно, т. е. не перемещая мышь нажать левую кнопку 5 раз (как
бы задавая эти параметры!). Результатом этих действий будет раз­
мешение окружности с заданным радиусом, и программа nрелло­
жиг разместить .вторую окружность. Завершение команд выполня­
ется нажатием кнопки Esc или правой клавиши мыши. В описан­
ном подходе есть один нюанс, если шаг �етки больше, чем
значения радиусов указанных в н·астройке окружности, то размес­
тить данную окружность не удастся! Для создания окружности
следует установить шаг сетки как минимум в два раза меньше диа­
метра создаваемой окружности.
Sta,t Angle О
End Angle Э6О
Location Х: 92.5nm У: 42.oovn
Locked
ок
Рис. 1.30. Свойства дуги
40
0
11Сма11
1.5. Базовые элементы работы в среде Altium Designer
1.5.З.З. Размещение текста
Головной болью разработчиков, имеющих опыт работы в ре­
дакторе схем P-CAD, является работа с т�кстом. В P-CAD на схе­
ме нельзя было разместить текст, повернутый на 180 градусов и
настройки текстов имели сложную многоступенчатую структуру.
При размещении текста в Altium Designer, после выполнения
команды Place String Text (рис. 1.27) и нажатия клавищи ТаЬ поя­
вится окно Annotation (Свойства текста - рис. 1.31), которые со­
держат следующие параметры:
• Color - цвет текста;
• Location - координаты точки привязки текста;
• Orientation - угол поворота текста;
• Horizontal (Vertica\) Jastification - полож�ние точки привязки относительно текста по rоризонтали (по вертикали);
• Тext - надпись;
• Font - настройки шрифта.
В окне свойств стоит менять только два параметра - саму над­
пись и шрифт, которым она выполнена. Причем в редакторе схем
доступен только объемный шрифт (True Туре), возможность выбо­
ра векторного шрифта (Stroke) имеется только на плате. Угол по­
ворота в окне свойств не задается, т. к. удобнее ero менять непо­
средственно в момент размещения, нажатием клавиши Space
(Пробел).
�!-
�,..._>(111"­
-
., ,s,.,,,
u,'""� oo.v"'
Hoevonl"" Jurl8'C..._
R,s;,.
v"ьe:.tJwdlr:411Ьt
kfloit
EJ
......
1•.t
-11:::1
__
f ...
�
СЕ:]�
Рис. 1.31. Свойства текста
41
1 лава 1. знакомство с платформой Altium Designer
1.5.4. Редактирование свойств графических объектов
Редапирование в Altium Designer также имеет некоторые осо­
бенности. Чтобы изменить свойства объекта, размещенноrо ранее,
его НУ',кно выделить. Если это один объект, то для выделения до­
статочно навести на него курсор мыши и нажать левую кнопку,
после чеrо у объекта появится зеленый контур и метки редактиро­
вания (рис. 1.29). Чтобы изменить форму объекта, нужно навести
курсор на зеленую метку редактирования до появления диагональ­
ного курсора 11, затем, перемещать нажатой левой кнопкой. Для
изменения положения объекта, нужно навести курсор на свобод­
ное от зеленых меток место на объекте до появления курсора в
виде крестика и, затем, перемещать нажатой левой кнопкой. Пе­
ремещение объекта может не получиться, если не включена на­
стройка Always Drag (см. п. 3.1.2).
Для редактирования свойств объекта НУ'А<НО выполнить двойное
нажатие левой кнопки на его изображении или выбрать команду
Properties из контекстного меню при нажатии правой клавиши
мыши. Описанные выше действия привычны по другим системам
проектирования, отличия начинаются при попытке. отредактиро­
вать свойства нескольких объектов. Например, при необходимо­
сти поменять шрифт у все?< по­ !iOH c-tor
...
зиuионных обозна,1ени11 схемы.
lrd..de ,!!! ll!P'!, о1 owrt.1 hom 0•1�1 dogm,nt
Ес.111 выбрать эти обозначения 8 l(.ind
и по правой кнопке заiiти в
De:g,a/01
OЬj,!ct Кn1
сnойства, то желае:-.1ый резуль­ 8 Oesign
Ownei Doct.rnel'll
4 Pott UАЯТ lll'ld Line D1rшs
тат получен не будет! для изме­
нения свойств группы объектов 861,iphical
Соо
■ 838860З
(а также, рекомендуется приме­
Х1
121 92mm
нять этот способ мя изменения
У1
<•• )
...
, ·•
�
свойств одного объекта) испо­
01oenlalion
ODegree,
льзуется панель lospector, кото­
HOIC!onrвl JustihcalJon Le!I
рая вызывается нажатием кла­
Vf'Jttcвl Juslif!CihJn Botlc,m
виши FI 1. Выделив группу объ­
Loc"ed
о
A!Jopo�ion
а
ектов, и нажав клавишу FI 1, на
.
экране появится панель Inspec­ В Objec!Specilic
Te><I
< •. >
tor (рис. 1.32), в которой пред­
< ••• >
�
ставлены свойства выделенных
объектов в табличном виде, до­ Э oЬtec•!s) а<е �� in 1 dc,cunenl(s)
Рис. 1.32. Свойства объекта
ступные для редактирования.
)(
..
в па·нели Jnspector
42
1.б. Системные настройки (System.)
В нижней части панели написано сколr,ко объектов выбрано в
текуший момент. Если значение параметра отображается в табли­
нс (например, Orienation - О Degrees), то это значение одинаково
д..,я всех выбранных объектов, если в строке параметра указано
<... > - значения этого параметра у разных объектов отличаются.
Более подробно работа с этой панелью будет описана в отдельной
главе (п. 2.4, 3.9). На данном этапе стоит запомнить, что всегда
для изменений свойств объектов в Altium Designer удобнее приме­
нять панель lnspector.
1.6. Системные настройки (System)
Altiнm Designer представляет собой очень гибкую, настраивае­
мую под конкретного пользователя систему. Все настройки в про­
грамме условно делятся на 3 уровня: настройки системы, настрой­
ки редактора и настройки документа. Настройки системы и на­
стройки редакторов доступны из единого места - из меню
DXP>Prefcrcnces. В данной главе будут рассмотрены настройки,
относяшиеся ко всей системе, для установки которых необходимо
выполнить ОХР> Preferences и открыть вкладку System.
Данная вкладка содержит список настроек по определенным
направлениям, каждая из которых в свою очередь содержит пере­
чень опuий. В списке настроек System выбираем General на кото­
рой собраны наиболее обшис опции.
General
На данной вкладке, так же как и на других вкладках настроек,
все опции делятся на определенные группы по некоторым функци­
ональным назначениям. Группы отделяются друг от друга рамкой
более темного света. Описание всех опций будет проводится по
функциональным группам. При этом если была изменена хоть одна
опция, у названия вкладки в списке настроек появится символ
звездочки, которая будет отображаться до нажатия клавиши Apply.
Первая группа на вкладке General - Startup, в которой пере­
числены особенности запуска системы:
• Reopen Last Workspace - открывать последнюю группу про­
ектов при новом запуске,
• Open Ноте Page - открывать домашнюю страницу Altium,
если нет документов для открытия (при завершении послед­
ней сессии не было открытых документов),
43
Глава 1. Знакомство с платформой Altium Designer
• Show stanup sсгее11 - показывать заставку при запуске.
Далее идет группа Defau\t Locations - злесь задаются имена па­
пок, которые будуr использоваться ют сохр,шсния докуJ\1ентов и
библиотек по умолчанию. Стоит от:-.1еппь, что изначально злесь
указаны папки в директор1111 установки Altium Dcsigner. что лучше
поменять с самого начала работы. Папка Examples - представляет
примеры различных типовых проектов от разработчиков Altium, а
папка Library - набор библиотек. Засорять эти папки cnoиl\tи про­
ектами и библиотеками не рскоJ\1енлуется. Пуrь к папкам для хра­
нения проектов и библиотек реко,\lен.'lустся создать на диске С
миной не более ОJщого уровнн 11 жс:шrе:1ыю на1вать па11ку латин­
скими буквами. Это свнзано с TCJ\t, что некоторые программы, ко­
торые могуr использоваться совместно с Altiшn Desig11er (напри­
мер, Specctra), которые очень чуnствнтелы,ы к JL'1инным име11а\1
файлов (а И\IЯ файла содержит весь пуrь его хранения), пробелам
в пуrи файла и русскому алфавиту.
Группа System Font позволяет поыеннть настройки систе\111ых
шрифтов при необходимости. Это те шр11фты, которы\<1и uыпол­
нены все надписи в диалогах интерфейс:� пporpaJ\tJ\IЫ. Стоит оп.1е­
тить, что по умолчанию уста,ювлсн нш1болсс у:юб11ый размер
шрифта, который лучше нс \1енять.
Группа Loca\ization отвечает за русифик;)ш1ю интерфейса.
Включив опuию Usc loca]izcd recourscs, станут доступны 2 вариан­
та русификаuии интерфейса, во-первых, �южно русифиuировuть
все диалоги (мя этого нужно выбрать Disp\ay \ocalized dialo"gs;;
во-uторых, можно русифиuировuть только всп.1ьш.нощие подсказ­
ки к данным диапогuм (Disp\ay loca]izcd \1ints 011\у). Дополшпсль­
ная опuия Loca]ized mcnнs позволяет русиф1шировать названия
пунктов главного меню.
View
Вкладка Vicw определяет группы н.�строек отображении эле­
ментов рабочего стола. Группа Dcsktop опредслнет нач:1.пьные па­
раметры рабочего стол:� при з:шуске с11сте�1ы Alti1Jm Dcsigner:
• Autosave dcsktop - сохраняет расгю.:юженис пuнc,'lcii рабоче­
го стола,
• Restore open documc11ts - 11осстанавл11васт документы, от­
крытые в последней сессии работы с приложением.
В строке Exc]usion выбираются пр11ложс11ия, документы кото­
рых нс будут открываться в новой сессии.
44
1.б. Системные настройки (Sys�)
Группа Show Navigation Ваг As - настраивает тип отображе
панели Navigation, которая используется как строка адреса Inter
Explorer, для навиrаuии по документам и страниuам Интерн
Опuия Built-in panel - отображает панель Navigation как встрое
ную в интерфейс ОХР, а Toolbar - как отдельную панель.
Группа General - набор общих опuий, для более тонкой на
стройки типа отображения панелей, инструментов и меню
В группе Popup Pancls - настраивается задержка появления и
скрытия всплывающих панелей, а так же включается/выключается
анимаuия появления этих панелей. Опuия Favorites Panel - опре­\
деляет размер иконок документов в панели Favorites.
Группа Document Ваг - определяет настройки вкладок пере­
ключения документов:
• Group document if need - включение/выключение группи­
ровки панелей, после чего на выбор премагается 2 варианта,
группировать по типу документов или по принадлежности к
проекту,
• Use equal-width button - использовать вкладки одинаковой
ширины, в противном случае длина вкладки будет определя­
ться названием документа,
• Auto-hide the document bar - автоматически скрывать вклад­
ки переключения документов, которые будут появляться то­
лько при наведение курсора на место их расположения
(удобно скрывать вкладки, для увеличения рабочей области),
• Multiline document bar - использовать мноrострочное ото­
бражение вкладок переключения документов (удобно испо­
льзовать при отключенной опции Group document if nced),
• Ctrl+ ТаЬ switches to the Iast active document - Ctrl+ ТаЬ пере­
ключает на последний редактируемый документ, при отклю­
ченной опuии - Ctrl+ ТаЬ переключает документы по поряд­
ку, как они были открыты,
• Middle click closed docume11t tab - нажатие средней кнопки
мыши (Scroll) на вклапке переключения документов закры­
вает выбранный документ.
Altium Web Update
На данной страниuе могут быть выбраны два варианта поиска
обновлений через Интернет (URL) и в локальной сети предприя­
тия (Network path). В обоих случаях в выбранной строке пишется
адрес поиска обновлений, в первом случае это сайr разработчика,
45
Гла 1. Знакомство с платформой Altium Designer
а тором - место в локальной сети предприятия. Поиск обнов­
л й n сети предприятия используется при наличии нескольких
л нзий, при этом на одном компьютере пользователя обновлезагружаются с сайта разработчика, после чего эти обноnления
адыnаются в общее хранилище, через которое обновляются
альные системы.
В группе Automatic checking указывается частота автоматиче­
ого поиска обновлений. При nыборе здесь любой опции кроме
ever поиск обновлений будет происходить автоматически по ад­
f су, указанному выше и при удачном поиске будет предложено
грузить найденные обновления.
На вкладке Tra11sparency включается полупрозрачное отображе­
hие всплывающих окон и описывается коэффициент прозрачности.
/ Navigation
1
Данная nкладка объединяет настройки отображения объектов в
панели Navigator и описывает набор действий с объектами, вы­
бранными посредством данной панели. В группе Highlights Met­
hods можно выбрать 4 действия, которые будут происходить с объ­
ектами в рабочей области, выбранными через панель Navigator:
• Zooming - увеличить выбранный объект, на коэффициент,
указанный в группе Zoom Precision
• Selecting - выделить объект, данное действие необходимо
для того, чтобы произвести какую-либо операцию над дан­
ным объектом,
• Masking - отделить объект маской от остальных объектов
документа (остальные объекты при этом выглядят как бы за­
тененными), коэффициент прозрачности объектов отделен­
ных маской устанавливается по кнопке Mask Level в нижнем
левом углу рабочей области. Ползунок Mask (в редакторе
плат) определяет уровень видимости маскируемых объектов
от 100 - полная видимость до О - объект не видим,
D111i:a-,o1
MaskedDЬod, f',dQf
Е
H,fi,µ 01;,iecu Focta ,-( -�....---Masl< unюl
8.dgt>.nJ0Ьioct,Fooo
Г---:V
t
46
1
1
1
1
1.6. Системные настройки (System)
• Co11nective Graph - показыnать графы связи (например для
выбранной 11епи графы связи будут показывать все компо­
ненты, объединенные этой цепью).
В группе Objccts То Display перечислен список объектов, кото­
рый отображается в панели Navigator после компиляции.
На вкладке Backttp определяются параметры автосохрансния
проекта. В данном окне указываются 3 параметра: частота автосох­
ранения, количество копий и путь сохранения резервных файлов.
Projects Panel
На данной вкладке устанавливается набор опuий панели Pro­
jects, которые позволяют настраивать параметры отображения до­
кументов проекта. Опш111 панели Projects ;>.Юrут быть так же до­
ступны по функ11иональной кнопке на самой панели. Данная
вкладка разбита на несколько категорий, каждая из которых имеет
несколько опuий:
1. Gcneral
• Slюw ope11/modified statt1s - показывать статус документа
• Show VCS stattts - показывать иконку контроля версиii
• Show document position in project - показывать позишfю до­
кумента в проекте
• Sho\v full path information in hint - показывать полныii путь в
всплывающей подсказке
• Show grid - показьшать сетку
2. File Vicw
а) Show Project Structure - отображать структуру проекта
Ь) Show Doct1me11t Structurc - отображать структуру документа
(для многолистовых документов)
З. Stn1ctt1re view
с) Show Documents
d) Show Sheet Syшbols
е) Slюw Nexus Components
4. Sorting - сортировать документы по определенному признаку
47
Глава 1. Знакомство с платформой Altium Dвsigner
i>ro
8
• ,,. х
ts
8
c..i-.,:•ies
GefV!roi
1
Souce Docllnel'IЬ
0 Smw apen/ rr,,df.,,! staм
Fie View
aGii м.....sсЮос
struct1.1 с Ylew
0ShowIO�
Gii д...ia,y.Scli)oc
Sc,r!Jn9
GI Eftects.Sd-Doc
G,щж,о
0Snow docunent � n i:,ojoct
Def�lt: E>.ponSiol\
GI Н� SсН)ос
Snol•dir.k
0 Snow ftJ poth information in tw-�
GI 1� chaм,1.ScIOoc
Gii Mona S<Юос •
051-юwG<ld
GI Ощц chonnetSdDoc
GI Powe, SсЮос
8 Mixef_Blenk.PCВDOC
• Moc.,_loaded PC8DOC
• м-J'laced.PCBOOC
Qose
8 Mi>e<_Aocm.O,w PCSOOC
.........
Рис. 1.33. 1/астройка опций панеАи Projects
...... - ·--
f) Project Ordcr - в порядке помиректорий проекта (т. е. сна­
чала схемы и платы, затем сгенерированные выходные фай­
лы, далее библиотеки и т. д.)
g) Alphabetically - по алфаоиту
h) Open/modified status - по статусу открыт/модифиuируется
i) VCS status - по статусу включения контр�>ЛЯ версий
j) Ascending - по. возрастанию (относится ко всем вышепере­
численным видам сортировки)
5. Grouping
k) Do not group - не группировать
l) Ву class - rруппировать по классу
m) Ву documcnt type - сортировать по типу документов
6. Single Ctick - действие по нажатию ЛК
n) Does nothing - нет действия
о) Activates open documents/objects - активировать открытый
документ
р) Open and show docL1ments/objccts - открыть и активировать
документ
File Types
На вкладке File Typcs перечислен список типов файлов, кото­
рые мoryr б ыть ассоuиированы с системой Altium Designcr, т. е.
т рытия которых будет использоваться один из редакторов
дЛЯ ок
48
1.6. Системные настройки (System)
на базе ОХР. Некоторый перечень типов файлов изначально
<,присвоен,> программой Altium Designer, например, в данном спи­
ске имеется тип файлов с расширением *.РСВ. Таким образом,
при попытке открыть файл *.РСВ с жесткого диска автоматически
будет загружаться не PCAD, а Altium Designer, так же обстоят дела
с другими типами файлов. Например, список цепей более при­
вычно просматривать в программе Notepad, но после установки
Altium Designer, тип файла *.net так же будет ассоuиирован с ней.
В данном списке рекомендуется отключить следующие типы фай­
лов: *.рсЬ, *.lib, *.cam, *.net и другие типы, для редактирования
которых используются отдельные программы. Кнопки справа от
списка типов файлов позволяют разрешить/запретить все типы
файлов (All оп/АН otl), разрешить/запретить типы файлов в вы­
бранной группе (All on/Group off) и разрешить/запретить выбран­
ный тип файлов.
New Document Defaults
Данная настройка позволяет выбрать шаблон для вновь созда­
ваемых документов. Для каждого типа документа (схема, плата и
т. д.) и для каждого типа проекта (проект платы и др.) можно ука­
зать файл, который будет формироваться при создании нового до­
кумента данного типа. Эта настро_йка может быть полезна при со­
здании одН'Отипных иерархичных проектов и использовании одно­
типного оформления схем и плат. Для выбора документа-образuа
необходимо выполнить нажатие ЛК на нужной строке, а потом по
кнопке спра№ от строки перейти в проводник и указать исходный
файл.
lnstalled Libraries
На данной вкладке указывается список подключенных библио­
тек, которые будуr использоваться для поиска компонентов в па­
нели Libraries. В том порядке, в котором эти библиотеки указаны в
этой вкладке, они будуr представлены в выпадающем списке в па­
нели Libraries. Для подключения новой библиотеки или удаления
из списка существующей используются кнопки Install/Remove.
Кнопки Move Up/Move Down используются для перемещения
библиотеки по списку вверх/вниз. При указании пути расположе­
ния библиотек, можно указывать не весь пуrь, а только часть, при
этом общая часть луrи файла указывается в строке Library Path
Relative То.
При установке системных настроек, как и при других настрой­
ках, имеется возможность сохранения данной конфигураuии и за49
Глава 1. Знакомство с платформой Altium Designer
грузки ранее сохраненной. Кроме перечисленного, имеется воз­
можность загрузки конфигураuии по умолчанию: кнопка Set То
Defaults - можно восстановить конфигураuию для отдельной
группы Default (Page), для выбранных вкладок Defat1lt (Branch) и
для всех настроек Default (All).
Sa\le.. ..
Load. ..
lmport From...
1• ]
Default (Вranch)
Default (АВ)
1. 7. Информационная поддержка
Altium Designer
Документаuия по Altium Designer для большей доступности ор­
ганизована и структурирована с помощью панели Knowledge Cen­
ter. Панель Knowledge Centcr представляет собой справо•1ную ин­
формаuию для работы с программой Altium Designer. Для запуска
этой панели нажмите клавишу F1. Кnow1cdge Ceпtcr интерактивно
загружает справочные данные об элементе интерфейса или об ин­
струменте при выполнении на нем щелчка Л К. Например, для по­
лучения справки о панели Projcct (рассмотреRной в предыдущей
главе) достаточно нажать клавишу FI и нажать ЛК в любую часть
панели Project. Для получения справки об инструменте Wire (р.&­
мещение соединений), нужно просто навести указатель курсора на
иконку данного инструмента в панели инструментов.
Верхняя часть панели Кnowledge Centcr является порталом, от
краткой справки, отображаемой до ссылок на данные в формате
PDF и на прикладные документы. Нижняя секuия панели имеет
дерево навигации, которое используется для просмотра докумен­
тации на основе PDF и открытия интересующего документа.
Кnowledge Ccnter содержит мощные возможности по поиску
данных, доступные в нижней области панели. Открываются стра­
ницы, содержащие слова в строке запроса (за исключением таких
общих слов, как и, или и т. п.). Пределы поиска определяются те­
кущей позиuией в навигаuионной структуре.
Кроме описанной панели для увеличения продуктивности ра­
боты в системе Altium Designcr предлагается активное использова­
ние горячих клавиш. Нажатие клавиши более эффективно, чем
50
-
1.7. Информационная поддержка Altlum Designer
ICn
(e,t�
------------------
• "
Projects panel
The Projl!ds panel dlsplays all proJects thal are currentty open, along w,th lhe1r
ronst,luent documents contэIned lhe1eln ArYf open documerts lhat ha-.e not been
treateel as part о! а proJet� or added to an l!)ds�ng open proJet� w111 also ье llsted
F or lhe most part, lhe Projec1s рэ�еl would 1ур1са11у ье conngured ln Flle Vlev. rnode
(l'Ие Vlew oi:,Uon enaЫed on the panel), Whlth 1s the defaull tonfigur;;,Uon tor \he
panel When the structure or э deslgn lntorporates di<ferent suЬ-proJetts hOWever,
ео an FPGA projetl nn�ed to а РСВ projecl anel an embedeled sotlware project I nkeel
to а processor core rn lhe FPOA desion proJec� there needs to Ье some \'isual Y.7f or
qulcl,1y 1nte,rooa11ng/corboll1no the ove,a11 deslgn hier1щhyfstructure Тhls 1s
achleved 1)у placlng \he panel tn Structure Edilor mode
Llnks
Wcr\lspace Panels• Profrili.
PrcfettЕ•s�nno1s
c«unent.ьon I.Ьrer > S..arch neяAts
812} l'P0133 Uson9 Components Directly frcm Your Company Database
с!1 r;:J S.P0135 tnter.oct,ve and Drfferenbal Pa,r Routino
1Б 1'.1'3 AR0109 !ntroduct,on to the Query L4n9uage
Ч10 AR0111 Speфino the РС& Des1gл Rules and Resolv,no Viol.obons
а 0 AR0129 An Ins,ders Gu1de to th<> Qu<>ry Languao•
&; /Z] GU0112 Welcomo to the AIЬum Des,oner Environment
Ы l'l3 ТRО104 Alt,um D,signer Penels Reference
Е ТR0110 Query Languoge Reference
► Query Llln9<1oge Reterence
► ... reference menuol descnbes the Query L11ngu11ge used 1n AltJum Оо:► Query Helper
► Whot is а Qucry7
► ... the Form of • Qucry
► А query is • stтino }ou enter using specific keywords ...
► ... help on ь sp ecifie qu�ry keyword, press F1 when the c.ursor is in .. ,
t ... more 1nformьtion on the query system, oper4'tors, 4'nd tips on wntin(
► Use the Qurry Helper dialoo for а list of keywords
ra
�lc_u_ety_L_<W9J090
______________.� ,.Seo,ch Loc.,/Do=,em :]
Soardl Locol Doo.me,,t>
Se!Wdl 'lt-e WiJ
Рис. 1.34. ИсnоАьзуйте Knowledge Center дАя обучения, просмотра
и поиска документации в формате PDF
тщательное позиционирование мышки на кнопке или поиск по
многоуровневым меню, и после изучения горячих клавиш их ис­
пользование становится определяющим. В среде многих редахто-.
Ров, как и в Altium Designer, запоминание rорячих клавиш трудно,
особенно клавиши специального назначения, которые доступны
при запуске команд. Для помощи в этом были добавлены новые
краткие меню, которые можно использовать со всеми интерактив­
ными командами во всех редакторах. При выполнении команды,
т вной трассировки, можно нажать клавишу
например, интераки
51
Глава 1. Знакомство с платформой Altium Designer
тильды (~) и появится меню, с перечне�� всех доступных горячих
клавиш для этого этапа интерактивной команды.
Также имеется новая панель горячих клавиш (Shortcuts), кото­
рая отображает горячие клавиши, доступные в Altium Designer.
Панель контекстно-опознаваема, она не только обновляется при
переходе от одного редактора к другому, но также обновляется
при выборе команды, демонстрируя лосТ)'Пные внутрипроuессор­
ные горячие клавиши. Это выглядит идеально для проектировщи­
ка, который переходит вперед и назад между прикладными прило­
жениями и испытывает трудности в запоминании таких клавиш.
Клавиши для отдельных проuессов также доступны при нажатии
клавиши тильды.
52
Глава 2
Разработка библиотек и моделей
компонентов
Прежде чем приступить к разработке проекта, разработчик дол­
жен иметь в своем распоряжении библиотеку компонентов эле­
ментной базы, используемой в проекте. Хотя библиотеки, постав­
ляемые с Altium Dcsigner, содержат порядка 87000 компонентов
(,V\vw.altiнm.com/Community/Librarics - описание всех библио­
тек), созданных в соответствии со стандартом ISO 9001, имеется
неско,1ько нюансов относительно uелесообразности их использо­
вания. Во-первых, УГО (условные графические обозначения) всех
этих ко:-.1понентов нс соответствуют ГОСТ, во-вторых, в этих биб­
,11ютсках отсутствует российская элементная база, которая приме­
няется на наших пре,r�.приятиях. Поэтому перед разработкой элект­
рических принuипиальных схем и проектированием плат, следует
рассмотреть порядок разработки библиотек и моделей компонен­
тов, а также разные подходы к их орrанизаuии.
2.1. Концепция библиотек Altium Designer
Компоненты являются основными строительными блоками
электронных изделий. При разработке и подготовке к производст­
ву проекта каждый ко:-.шонент нуждается в различных представле­
ниях: лоп1чсский с11мво.1 на схеме (УГО), посадочное место на
плате (Footprint), описание в формате Space для моделирования,
описание IВIS-модсли для анализа uелостности сигналов и трех­
мерное описание для объемного представления готовой платы.
Дл>-1 кажлого компонента не обязательно наличие всех этих
прtл.ставлений, но обязательно наличие стартовой точки, которой
в Aitit1m Oesigncr яв.1яется логический символ (УГО). Каждый
ко�тонснт ,1олжсн быть определен, как минимум, своим собст­
ве нным именем 11 схе-мной библиотеке. Он может содержать выво­
ды и графическ11й символ u единственном или мноrосекuионном
в11де и даже иметь альтернативные опuии отображения. Как тако­
вой он может быть размещен в любом схемном проекте. Однако,
до тех пор, пока в компонент не добавлены модели, его нельзя
применить в любом практическом понимании.
53
Глава 2. Разработка библиотек и моделей компонентов
2.1.1. Основные термины и определения
Для однозначного понимания разъясним наиболее часто испо­
льзуемые термины применительно к среде Altil1m Designer, в срав­
нении с терминологией P-CAD.
Component (Компонент) - обшее наименование объекта, кото­
рый может быть применен в проекте, в среде P-CAD, этот объект
объединял символьное обозначение и посадочное место.
Symbol (Символ) - общее наименование условного графиче­
ского обозначения (УГО) компонента, nодготоnленноrо для раз­
мещения на схеме. Символ может содержать графические объек­
ты, которые определяют внешний вид и выводы, которые опреде­
ляют электрические точки подключения. В системе Altium
Designer символ, по сути, является компонентом, т. к. является
полностью завершенным объектом, который может быть исполь­
зован при создании схем и к нему мoryr быть подключены модели
разного типа.
Part (Часть, гейт, секuия) - некоторые компоненты, такие как
резисторные цепочки или реле, мoryr быть построены как с�рия от­
дельных секций, которые, в свою очередь, мoryr быть размещены
на схеме независимо (рассматриваются как мноrосекнионный ком­
понент), при этом на плате устс1новлены в виде единого корпуса.
Model (Модель) - представление компонента, который испо­
льзуется в некоторой практической сфере деятельности. Так для
создания платы используются модели посадочных �1ест, при мод�­
лировании - модели Spice и т. д.
Footprint (Топологическое посадочное место - ТПМ) - наиме­
нование модели, которая предстаJЗляет компонент на заготовке пе­
чатной платы. Посадочное место группирует набор контактных
площадок на плате и контур комnонента. Данный термин являет­
ся аналогом тср:-..1ина Pattern, известного пользователям P-CAD.
Pad (Контактная площадка, КП) - изображение вывода эле­
мента на плате.
Pin (Вывод) - изображение вывода элемента на схеме.
Библиотека - файл, содержаший набор компонентов и набор
моделей.
Библиотека моделей - файл, содержащий набор моделей ком­
понентов.
Библиотека компонентов - файл, содержащий набор схемных
компонентов.
54
2.1. Концепция библиотен Altium Dвsigner
Интеrрированная библиотека - файл, содержащий набор схем­
ных компонентов и их ассоuиированные модели.
Библиотека базы данных - библиотека компонентов, rде все
символы имеют ссылки, модели связаны и параметричес1'3я ин­
формаuия сохранена в базе данных на основе ODBC (open databa­
se connectivity - интерфейс связи с открытыми базами данных),
или ADO (ActiveX Data Objects - набор компонентов ActiveX, ис­
пользуемых для доступа к БД, поддерживающим спенификашrю
OLE DB), или в виде таблиц Excel.
На схемной стадии проект япляется набором компонентов, ко­
торые имеют логическую связанность. Для тестирования или про­
ведения проекта в жизнь он нуждается в передаче в другую, более
практическую область проверки функuионироnания, такую как
моделирование, формирование платы, анализ uелостности сигна­
лов и т. Д.
Каждая область практической реализаuшr требует некоторую
информаuию о компонентах, а также некоторые пути персраспре-
·-
-
. -·.·.�-.. ..........,__,_.. .
. ... -· -- ·'-. ·,. " .
.,.........,._........
- -·,·...-·�
.,.._.. _____
.. ---·
........
�.
.....
.
. ....,
__.,..,.. ___ --- ...
:-.:..:..::.==::_•.:�_·:.::.-:::.,·..
�= ,�.-.:-�::.::.-:::.�,....�_·...;.....__..._-·_________.
.....,,_"�--�
..,._
z4il•
•,Ja..
'
•
fJ, Ч
J
8
♦
.,.,._.,
-� ,..4 ___ ,, ----
*
Рис. 2.1. Информация о разнь,х вариантах представления
компонента в файлах моделей
55
Глава 2. Разработка библиотек и моделей компонентов
деления этой информации касательно выводов символа. Некото­
рая часть из этой информации для· области реализации размещает­
ся в файлах моделей, формат которых является обычно предопре­
деленным. Например, модели IВIS, MDL и СКТ. Некоторая
информация не содержится в файлах моделей, например, распре­
деление выводов SPICE � данные списков цепей должны быть
определены средствами системы.
Отметим, что модели целостности сиrnалов JBIS и модели
VRML (virtual reality modeling language - язык моделирования
виртуальной реальности) или IGES 3D (международный язык об­
мена графической информацией) должны быть импортированы в
формат модели Altium Designer, перед тем как их можно будет ис­
пользовать. Модели IBIS импортируются непосредственно в диа­
лоrе Signal Jntegrity Model, который открывается при добавлении
SI-модели в компонент.
Вся необходимая информация внутреннего описания содер­
жится внутри схемного компонента, которая сохраняется с помо­
Щ1?Ю отдельного интерфейса для каждой добавляемой модели. Та­
ким образом, для реализации символа схемы в какой-либо облас­
ти используется ссылка на файл модели и описание ее
взаимодействия с символом внутри библиотеки, а внутренне опи­
сание самой модели хранится в конкретном файле этой модели.
- --_ -...
,, ....
------...__-- -·-..- -·._._
flg<n 2. �IDмdl-•nd,ny,._,;,,gl
,-qu;,..,.dtiiмdirrltre-,-llw-di8/ogs.
Рис. 2.2. Связи в каждой модели и любое переопределение ее,
требуют опреде.ления модели в соответствующем диа.логе
56
2.1. Концепция библиотек Altium Designer
2.1.2. Типы библиотек
В среде Altium Dcsigner имеетс я 4 типа библиотек, рассмотрим
назначение каждой из них.
Библиотеки моделей - модели для каждой области сохраняют­
ся в <•хранилищах•>, обычно называемых библиотеками моделей.
В некоторых областях, таких как SPICE, где обычно одна модель
хранится в одном файле, они также рассматриваются как отдель­
ные файлы (*.MDL, *.СКТ). В других областях модели обычно
группируются в библиотечные файлы, соответствующие заданной
орrанизаuии пользователя, такие как посадочные места, сгруппи­
рованные в библиотеки пакетного типа (*.РсЫiЬ).
Библиотеки символов - содержат схемные компоненты и ин­
терфейс определения их моделей (*.SchLib). Каждый интерфейс
определения модели привязан к сnоей соответствующей библиоте­
ке моделей.
Интегрированные библиотеки - это набор библиотек симво­
лов, которые вместе с их привязанными библиотеками моделей,
«компилированы•> в интегрированную библиотеку (*.IntLib). Пре­
имущество создания интегрированных библиотек состоит в том,
что вся информация о компонентах доступна в едином компакт­
ном файле. Интегрированные библиотеки не могут быть отредак­
тированы без распаковки источников и перекомпиляuии.
Библиотеки базы данных - библиотека, где все символьные
ссылки, привязанные модели и параметрическая информация хра­
нятся в базе данных на основе ODBC, ADO или в формате таблиu
Excel. Каждая запись в базе данных представляет отдельный ком­
понент, а так же все сохраненные параметры, вместе со ссылками
на модели. Запись может содержать ссылки на инвентарную ведо­
мость или на другие корпоративные данные компонентов.
При таком подходе схемные компоненты используются только
ак
к символы (они не имеют ссылок на модели, описанные в схем­
ной библиотеке) с моделями (посадочными местами или ЗD моде­
лями), сохраненными в стандартных библиотеках посадочных
мест, 3D компонентов и т. д.
Интерфейсом бибJIИОТеки базы даннь1х является документ С
расширением *.DBLib, который представляет собой пакет настро­
ек с ссылкой на таблиuу в формате Access или Excel, где представ­
лен набор параметров для компонентов библиотеки. База данных
57
Глава 2. Разработка библиотек и моделей компонентов
DBLib подключается через панель Libraries подобно всем другим
библиотекам системы.
При выполнении действий по размещению, выполняемых с
компонентами библиотеки базы данных, запись в базе данных
проверяется, символ загружается из указанной схемной библиоте­
ки, а модели добавляются через rаблиuу, также как остальные па­
раметры.
2.2. Создание библиотеки символов
Предполагается, что программа Altium Designer уже запущена
на компьютере. Для создания новой библиотеки необходимо вы­
полнить: File>New>Library>Schematic Librdry. Сохранить вновь со­
зданную библиотеку можно командой File>Savc, или нажатием
пиктограммы \ Q 1. Для работы с библиотекой сю,шолов l'!еобхо­
димо открыть панель (которая вызывается по кнопке SCH>SCH
Library в нижней левой части окна) и разместить ее слева от рабо­
чей области, после чего интерфейс Altium Designer будет иметь
ви.п, как показано на рисунке 2.3.
• Altti.m � 6.9 - Sct,IIЫ.Sdtl.� - r,,.. Pocumen!S. L� to 11оо,111 Softwм• �-
r_ l=Гх
S(Мf11� ....,...,.. О·
--------.1
----
------------
Рабочо,1 oбnocn.
ро1)рiбоТIСМ Ct,1ot.1eono
...
Рис. 2.3. Интерфейс редактора компонентов
58
1
2.2. Создание библиотеки символов
2.2.1. Начальные настройки рабочей области
Прежде чем прнстуnить к разработке нового символа, нсобхо­
nиыо настроить рабочую область, т. е. выбрать необходимые сли­
н1шы измерения и сетки, эти настройки моrхг быть ранее заnаны
как глобальные для всех новых документов (см. раздел 3.1 ). Дан­
ная настройка проводится в окне Library Editor Workspacc, которое
вызывается команл.ой Tools>Document Options. В появившемся
окне на вкладке Units выбираются ед11ниuы измерения, причем
01111 могут быть метрические и дюймовые (в нашем случае следует
устано,н!ть миллиметры). На вкладке Library Editor Options реко­
мсндустси устанон11ть шаг сетки 2,5 мм, для двух видов сеток
(Snap - сетка перемещения курсора в режиме графической
команды, YisiЬ\e - сетка, отображас�rая на экране). Кроме сеток
на данной вкладке могут быть установлены дополнительные пара­
метры (см. рис. 2.4). Из всех этих настроек рекомендуется вклю­
чить лишь отображение скрытых выводов.
Кроме этого имеется возможность заnать менее необходимые
на этом этапе параметры:
Style - стандарт размеров (Standard или ANSI, оба стандарта не
соответствуют ГОСТ), здесь размер листа не критичен, а при со­
здании схемы или платы размер следует заnавать через опuию
Custom Size, или подгружать из форматкн (см. гл. 3.2).
Size - формат листа,
Bordcr - uвет границы листа,
Workspace - uвет рабочей области,
Custom Sizc ·_ пользовательский размер листа.
111·\i·ikif6№%11·i·IЗ-I
1
i.и"'J•EdlooCf"°"' �1Lln__.
Ь _______________,
Рис. 2.4. Настройки рабочей области редактора символов
59
Глава 2. Разработка библиотек и моделей компонентов
2.2.2. Алгоритм создания условно-графического
обозначения (УГО)
При создании УГО дискретных аналоrовых компоне11тов по
ЕСКД необходимо сформировать графику УГО и разместить элект­
рические контакты схемного элемента (символа) в узлах сетки, еди­
ной для всех, как аналоговых, так и uифровых компонентов. В ка­
честве такой оптимальной сетки при проекп1ровани11 электриче­
ских схем по ЕСКД uелесообразно использовать сетку с шагом 5 мм
(хотя для более плотного размещения симоо.1оn удобнее использо­
вать сетку 2,5 мм). Именно в таком модулыюl\1 шаге формируются.
в соответствии с ГОСТ 2.743-91 и ГОСТ 2.759-82, УГО аналоговых
и цифровых интегральных микросхем. При таком полхоле электри­
ческие контакты компонентов и проводники линий связи электри­
ческой принuипиальной схемы оказываются в узлах единой сетки.
Такой выбор не противоречит требованиям ЕСКД к рыriолнению
электрических схем: правила выполнения схем (ГОСТ 2.701-84,
ГОСТ 2.702-75) не регламентируют расL,оян11и между проводника­
ми. Указывается только, что расстояние между линиями связи в
электрических схемах не должно быть менее 2 мм.
Рассмотрим особенности формирования схемного символа на
примере биполярного транзистор:;�. В соответствии с ГОСТ
2.730-73 УГО биполярного транзистора формируется геометриче­
ским построением. В круге диаметром 12 нл 11 14 мм под углом 60
градусов друг к другу и симметрично относительно диаметра стро­
ятся два луча. Размер <•А>> между исходной точкой лучей и то•rкой
их пересечения с окружностью составляет 9 или 11 мм и е�елелл­
ет все остальные размеры УГО. Линия базы транзистора стрЬитси
на расстоянии О,5А от исход11ой точки лучей и имеет длину, также
равную А (рис. 2.5а).
а
60
5
- А
б
Рис. 2.5. Пример УГО биполярного транзистора
в
2.2. Создание библиотеки символов
При таком построении УГО координаты точек сопряжения
элементов графики выражаются иррациональными числами. Про­
rрам�tа, конечно, округляет эти координаты до значения младшего
разряда машинного слова, но все равно точки присоединения
проводников схемы не попадают в узлы приемлемой сетки проек­
тирования.
Представляется рациональным решение, изображенное на ри­
сунке 2.Sб. Линии УГО nроnодятся через узлы 5-миллиметровой
сетки, линии выводов также имеют мину 5 или 10 мм, а окруж­
ность, изображающая корпус транзистора, смещена вправо так,
что ее контур проходит через точки окончания линий эмиттера и
коллектора. Угол раствора коллектора и эмиттера состамяет при
этом 53 градуса. Графика транзистора в этом слу1 1ае будет немного
нс соответствовать ГОСТ (что не различимо при печати), при не­
обходимости· создания более точной графики следует рисовать
УГО с меньшим шагом сетки.
Формирование УГО компонента n общем случае можно разде­
лить на два этапа: во-первых, устанавливаются выводы символа, а
во-вторых е помощью графических команд рисуется непосредст­
венно изображение символа. Рассмотрим подробно эту процедуру.
2.2.2.1. Установка выводов
1. Для создания компонента следует нажать кнопку Add под
списком компонентов данной би.блиотеки в панели SCH Library и
в появившемся окне написать название нового компонента. В ре­
зультате этого новый компонент добавляется в список библиотеки.
2. Выполните команду главного меню Place>Pin (горячие кла­
и
ши
Р, Р). В рабочей области появляется изображение вывода,
в
ер
п емещающееся за курсором по экрану. Точка присоединения
электрической связи к контакту помечена косым перекрестием.
В лексике Altium Designer эта точка называется «горячим концом>>
(hot cnd), который является невидимым. Размеры этой точки
определяются сеткой Electrical Grid. В программе P-CAD был по­
хожий объект, который имел название «Open End» и был показан
на схеме в виде желтого контура. При совмещении вывода с УГО
т11-1ия вывода должна примыкать к УГО противоположным кон­
цом {рис. 2.7).
Здесь же можно сориентиро·ваться по надписям: текст над вы­
водом - это номер вывода, должен располагаться за пределами
61
Глава 2. Разработка библиотек и моделей компонентов
Pln Propertles
------
�., •.-
�,t4
......
"'
.,..(�
�
Lм"""°"Х
L4rф
l1Jx
1 "( ..:•....,
r�
�1!1.-,....,,;;--.
1
Соо
.
-
t.o..--..,□
Рис. 2.б. Свойства вывода компонента
;l--1 1 _ 1- 1
г
Рис. 2.7. Установка вывода
УГО; текст сбоку от вывода - это имя вывода, соответственно
располаrается внутри УГО.
3. Перед размещением вывода активизировать клавишей ТаЬ
диалоr редактирования ero свойств. Открывается диалоrовое окно
настройки свойств вывода Pin Propertics (рис. 2.6). Установить
следующие свойства вывода:
• Display Name - лоrическое имя или функциональное назна­
чение контакта. В соответствии с требованиями-SРIСЕ-тех­
нолоrии схемотехнического моделирования последователь­
ность ввода и обозначений выводов транзистора должна
быть: коллектор-база-эмиттер. По умолчанию первому вво·
димому контакту дается обозначение О (нуль). Изменим это
обозначение на К (коллектор). Стоит отметить, что имя вы·
вода нельзя перемещать и поворачивать отдельно от самого
вывода, поэтому данное имя у некоторых компонентов реко·
мендуется скрыть (VisiЫe).
62
2.2. Создание библиотеки символов
• Designator - порядковый номер вывода, который в ·дальней­
шем будет использован для совмещения символьного изоб­
ражения и посадочного места компонента, рекомендуется
применять сквозную нумерацию от 1. Целесообразно также
отключить видимость обозначений выводов, т. к. их функuи­
ональное назначение в случае транзистора ясно из rрафики
УГО (видимые обозначения будут у выводов микросхем).
• Electrical Туре - электрический тип вьшода. Для выводов
транзистора, а также для всех компонентов, рекомендуется
всегда устанавливать тип Passive.
• Dcscription - текстовое описание функции вывода компо­
нента.
• В поле Graphical установить:
.- Lcngth - длину линии вывода: установить длину 2,5 мм.
Длина вывода выбирается из следующих соображений:
наименование вывода должно умещаться над его изобра­
жением и узлы то 1 1ек привязки должны впоследствии по­
пасть в сетку;
- Orientation - ориентаuия линии: установить угол разворо­
та линии вывода (против часовой стрелки, относительно
положительного направления оси Х). Ориентаuия может
также меняться при установке вывода на rрафическом эк­
ране последовательными. нажатиями клавиши ПРОБЕЛ
(SPACE).
• В поле Symbols оставить без изменения признак No Sym­
bol - отсутствие указателей полярности, направления пере­
дачи сигнала и т. п.
4. Щелчком ОК завершить редактирование свойств вывода и
установить его на УГО в окне графического редактирования ком­
понента.
5. Повторить действия пп. 2-4 для следующих выводов компо­
нента. Последовательность ввода оставшихся выводов - база,
эмиттер.
2.2.2.2. Рисование rрафики символа
Для рисования графики символа выполните следующие дейст­
вия:
1. Активизировать команду главного меню Place> Line. Курсор
Меняет вид на перекрестие. Графический примитив Linc представ63
Глава 2. Разработка библиотек и моделей компонентов
--
i
Lonc l!/dl,
�L-so,i.
s,.,.1.w,es"- NC11'4
End une 5"""8
llane
uneS...... So,e
S.-u
r.oi..
.(
Sm,i
5-'d
loded
о
DCJ�
Рис. 2.8. Свойства линии
ляет собой полилинию - все отрезки ломаной линии образуют
единый объект.
2 . Клавишей ТаЬ активизировать функuию настройки ширины
линии ... Открывается диалоговое окно настройки PolyLinc
(рис. 2.8). В окно вынесены следующие настройки линии:
• Line Width - ширина линии: курсором указывается один из
варJfантов ширины
- Smallest (очень тонкая) - шириной 0,127 мм;
- Small (тонкая) - шириной 0,254 мм;
- Medium (средняя) - шириной около 0,508 мм;
- Large (толстая) - шириной около 1,016 мм.
• Line Style (стиль) - спло1.1n-1ая (Solid), точечная (Dotted) ил11
пун1<Тирная (Dashed);
• Color (цвет) - выбирается· из цветовой палитры, открываю­
щейся по щелчку левой на цветном прямоугольнике;
• Фигуры, которыми начинается и кончается линия - Start Li­
ne Shape и End Line Shapc - стрелки, точки, квадраты и
т. п., или отсутствие фигур (None), а также размер этих фи­
г ур-�-�аконечников (Line Shape Size).
Щелчком на ОК завершить на.стройку.
3. Проложить необходимое ч исло отрезков,' образующих эле­
менты УГО (рис. 2.5 б), фиксируя начало и конеu каждого щелч­
ком левой клавиши. Черчение очередного отрезка завершается
щелчком правой клавиши мыши или клавишей Esc. Перед вычер­
чиванием линии эмиттера клавишей ТаЬ снова активизировать на­
стройку п араметров и указать стрелку на конuе отрезка.
64
2.2. Создание библиотеки символов
(1)�
EIUpticlll Arc
une \llкllh � 1
Г
У Radtu• 98215
,,,.,,.
-�· · '"'" 4
/l �)':·· " '"'" �·
Color-
Localюn Х: ·14
ОК
У -44
Locked
0
11 Concel j
Рис. 2.9. Свойства дуги
4. Активизировать команду черчения луrи Placc>Elliptical Arc.
Клавишей ТаЬ активизировать диалог настройки параметров дути
(рис. 2.9).
В диалоговом окне указываются параметры:
• Line Widtl1 - ширина линии;
• Color - uвст;
• Radius - радиус дуги;
• Start Anglc - начальный угол в абсолютных координатах;
• End Angle - конечный утол также в абсолютных координа­
тах.
5. Указать радиус 6 мм, начальный утол О (нуль) и конечный
уrол 360. По щелчку ОК вычерчивается окружность диаметром
12 мм.
6. Переместить и зафиксировать окружность в таком положе­
нии, чтобы конuы линии эмиттера и коллектора лежали на окруж­
ности.
2.2.3. Многосекционные компоненты
К мноrосскuионным компонентам относятся резисторные,
конденсаторные, диодные и транзисторные сборки и матриuы,
электрические соединители, некоторые логические интегральные
микросхемы. Схемный символ мноrосеюшонноrо компонента мо­
жет быть построен целиком, со всеми секциями в едином УГО.
В этом случае создание такого символа ничем нс отличается от
рассмотренного. Однако, для формирования принципиальной
3 зак. 32
65
Глава 2. Разработка библиотек и моделей компонентов
электр11•1сской схемы, которан Gы .1сгко ч1па:1ась и наглнmю дс­
монстр11рооа.,1:� пр11нщн1 .1еiiстш1я фу11к111юн:L·1ы-юго уJла. тако,·
изображение нс осег:ш удобно.
l) Нс вес секL11111 ло од�юй всс1·;1а 11спо.1ьзуюп:н в схеме. а шщи­
мость нс11с1юл1,Jус;,11,1х нсвоз:-южно от1--:1ю•;1пь. В рсзу,1ьлпс схс:-1:1
загромож;шстсн .1111111111:-.11: УГО.
2) Из сос:бр.:1жсн11ii удо60•1111ж�10сп1 схс�1ы II понш,1анш1 се
фу11кшю1:11ро11анш1 •1асто быuаст уJ1)6;ю 11зоuража1ь CXL'�IY 1)JJlk"·
сснн1,1\1 спссоб1.).,1. Пр,1 сл11но,1 УГО на весь кошюнснт э10 нсво:
MOiКIILJ. 11 11р11хо.д1псн гннуп, ;.,: нс:.:� .1::,111!1 ):1-:?\..;l"j)ll'ICCi<Oii CIOIJ!I
11злалска, •по затру.1няст чтс1;11е схеч1,1.
3
3
3
DD 1: 1
.
2
ln
D01 :3
Out 3
D01:2
S
ln
Out
nA
te
lnB
Out
В
001:4
123nA
6
13
lnB
Ou\
11
Pt.c. 2./()_ Прuиер компrтента -- микросхема К5/IПУ2 \
Полому uс,1ссообралю р::соютрсть щ:0Gсн11ост11 фоrм11роnа­
ння схс:-1ноrо с1шво;1..� �1ногосскщ1011ного ко:,шо нента раз11ссс11НЫ!\t способом - 11<1 11p11r-.1cpc :юг11•1сскоii 11нтсгра"1ьно11 м11кросхе­
мы К51 IПУ2, со.:.�сржtшtсй 2 ;шухвхо.tаuь:х вс11п1ля 2AND-NOT 11
2 ос1пи,1я NOT-AND о оп.но�, корпусе.
1. Выводы КО!\!ПОJ!снта ус1:.111ав.1111шотсн кощ1н;юi1 Placc>Pi11,
Пр11'1СМ срюу ПОС'JС BblПl):J/ICHIIН этоii KO\lcl/lДЫ оывод CTUIIOBIПCЯ
пр11вязаш1ым к курсору, в этот М(щсн1 11:::0G.ход11�10 нажать к.rшви­
шу ТаЬ, ;1.1н установки cuoiicтii ш,11юnа. В открывшемся окне
(рис. 2.6) следует ук,ш1ть 11м>1 и по·;:�t11юн11ос обозначение вынола,
котоrюс соотнетст11уст 1ю;,.1сру 1-t<.'жк�: корпуса :1111кросхсr-.1ы. Для
в�ю1щ ·11-1а•1ка 1111ncpc1111 (вывод Ot1r) 11спо.1ьJуется знак <•/», кото­
ры11 :,ю..кст ис110,11,:ю11атм.н 1�.:н1 :ноrо в дnух рсж11мах. л1160 олин
66
2. 2. Создание библиотеки. символов
,,j,> в начале имени созл.аст черту нал. всем названием, либо знак
,,j,, ставится после каждого си1-1uола имени, в этоl\1 с:1учае часть
ю1сни булст со знаком инверсии, другая без. Рекомендуется ука­
зап, длину вьшола, кратную 2,5 мм, остальные параметр?�, такие
как электрический тип вывода, спеuиальные символы добавляют­
ся дополнительно.
2. На втором этапе созлается графика УГО, для •1сго использу­
ются команды рисования (Liпe, Ellipses, Arc и др.). IЗ наше\1 слу­
чае выбираем команду Placc> Liпc н рисуем прямоуголышк, как
показано на рисунке 5. Толщина и сnойст1.1а рисуемой линии мо­
гут быть изменены rю время рисован11я при нажатии клавиши ТаЬ.
Во время рисования графики сюшола может возникнуть необхо­
диl\юсть переключения шага сетки. Для переключения \tсжду
станлартными режимами сеток нужно нажать клавишу G (при
JТОМ сетка будет циклично переключаться между значс1-JИЯ\1И 1.
2,5 и 5 ,,1м). При необходимости вк.,1юч1пь шаг сетки, отличный от
указанных nыше, нажмите последо1.1атсльно клавиши У и G, после
чего, n появившемся выпадающем меню, выберите команду Set
Sпар Grid.
3. Создав первую ячейку микросхемы, необходюю повторить
первые л.ва шага и создать остальные три ячейки, при этом на
символе позиционные обозначения выводов должны совпадать с
реальными номерами ножек микросхемы. Для создания новой
ячейки внутри одного компонента выполняется команда To­
ols> New Part. Если 1.1сс ячейки одинаковы, то можно скопировать
графику первого символа и вставить ее на лист второго СИ\tвола, с
последующей перенумерацией выводов второй ячейки.
2.2.3.1. Скрытые выводы земли и питания
При разработке библиотек, в которых у компонснтоn будут
уст ановлены скрытые выводы, удобно вклю•1ить отображение
скрытых выnодов (см. гл. 2.2.1). В рассматриваемом случае выводы
7 и 14 относятся к питанию и земле, и должны быть не показаны
на символе. Для установки скрытых выводов нужно при установке
nывода (командой Р\асе>Рiп) зайти в свойства коыпонента нажа­
тием клавиши ТаЬ. После установки названий и обозначений вы­
водов их стоит разместить на первой ячейке компонента. как по­
казано на рисунке 2.11, при этом электрические точки привязки
(hot епd) этих выводов должны располагаться на корпусе.
з·
67
Глава 2. Разработка библиотек и моделей компонентов
11 +
2
ln
0111
L- �l
г
::!:j
-·-....
�(.)
zv
;-.,
-::
--
с>
/
�
�
Рис. 2.11. Установка скрытых выводов
Пос,1с установки, выводов 13 соотвстстви11 с описанием, следует
поочередно зайти в свойство каждого вывода и устанооить допол­
нительные настройки. В соойст13ах JjЫDOдa нужно 13клю•1ить опuию
Hide и в поле Coпnect То зм:пь нмя uспи, к которой по умолча­
нию будет подключаться данный выоод. Кроме этого, обычно, для
таких вы1юдов ставят значение О в поле Рап N�mber, что гопор1п
о 11спр1-111а;L1сж�юсп1 данного 13ы1ю1ш к какой-то конкретной я•1ей­
кс м11кросхе�1ы. Рсзут,пп созда1111я с11:шюла показан на рисун­
ке 2.11. Если оставить принад,1еж1юсть скрытого вывода к первой
нчейкс микросхемы, и есл11 при это�, на схеме будуг залсйстоова­
ны только 2,3 и 4 ячейки, у микросхеt-1ы нс будет подключсюн1 к
соответствующей 1iспи! Есл11 выводы питания и земли были созда­
ны правильно, то при переключении между ячейками компонента
они будуг отображаться у ncex ячеек.
2.2.З.2. Атрибуты компонента
При созд::1.ю1и символа в программе PCAD после вышеописан­
ных шагоп требова.1ось указать точку привязки и установить обя­
з:пе,1ьный атрибуг RefDes. Здесь точка привязки определяется на­
чалом координат рабочей области (перекрест11с в центре экрана), а
позиционное обозначение (RefDcs) авто�1атичсски добавляется
над корпусом микросхемы. Третьим этапом при создании символа
являетсн добавление атрибугов. которые в дальнейшем мoryr быть
использош1ны пля оформления текстовой документаuии (перечней
68
2.2. Создание библиотеки символов
,,..
-
□ lj,to.rJ�UotSt••�••�
[Ju,U(.-.,t
Рис. 2.12. Свойства компонента
11 спе1н1ф�1к,щий). Ранее в PCAD этот ЭТi.lП выполнялся rюс:rслним
ttpи соз1н:1ню1 компонента в пр11ложснии Library Exccutivc. Теперь,
в связ11 с тем. что изменена структура библиотек, сейчас уже со1л.ается компоне1-п! Для установки атриб1тов компонента 11еобхо­
.11ню выrюлнить днойноi,i ще:рюк мыши на ero назван11и в панели
SCH Library.
в ПОЯ'3ивше-.1ся окне сnойспз KO:\IПOIICIIПI (рис. 2.12) необходи­
мо указа-rь прсф,�кс позишюнноrо обозначения компонента и при
111:обходи :-.юсти добавюъ пnpa/\le гры, которые n лальнсйшс/\1 могут
Gыть 11сnользоnаны для создания спеuифика11Ии и перечня элс­
�1снтов. После нажатия кнопки ОК можно иыполнить сохранение
611блиотс-.ки нажат11е/\1 п11ктоrраl\1:-.1ы [ �2.2.3.3. Альтернативные изображения символа
При разработке электронных устройств, с_одсржаших мноrовы1юдныс э;1еме11тЬ1, часто возникает пробле\!а с оформлением их
11р11нuип 11альных схем. Свнзано это с те�,. •1то ус.,овные rрафиче­
скнс 11зображен11я (УГО) таких :элементов при соблюдсюш требо­
U<1н11й ЕСКД 11.оволъно rромо:.1..1ю1, 'trO вынужласт л11бо необосно­
вщ1но 11спользопа"(1, большие форматы, либо разделять УГО эле69
Глава 2. Разработка библиотек и моделей компонентов
ментов на блоки, солержащие то,1ько исnользус:-.,ые в лзшюii
схеме выводы. И то н другое в итоге затрудняет чтение пр11н11ит1альной схемы. Кроме того, разле;1с11111,1е на б.•юк11 б11бл11отеч11ыс
ко:-.шонс1пы PCAD затрудняют и сю.ю nроекП1рова1111е в этой сре­
де из-за отсутствия елиного уюшерсалыюго компонента.
Один из способов разреше11ю1 этой проблемы - это использо­
вание библиотечных компонентов с усеченными УГО. Рассмотрим
это на примере узла 24-разрядного блока памят11, 131,tполненного
на базе многовыволной. Оди.н ю способов разрешения этой проб­
лемы - это использование б11блиотсчных компонентов с усе с 1сн­
ными УГО. микросхемы FLАSН-памяти AM29FO\O, И!\1еюшей
17-разрядную шину адреса и 8-разрядную ш11ну данных. Исполне­
ние УГО библиотечного компонента с уче1ом 11ыше11зложенного
могут быть 7рсх основных типов (рис. 2.13): к.1�асси•1сское, усс•1ен­
ное по адресной шине (Вариант <<А») 11 усеченное по обеим шшшм
(Вариант «В»).
Как видно из рисунка, выполнс11ный по ЕСКД усечс1111ы11 ва­
риант УГО «В» по высоте �,еньшс КJ�асси•1еского nрю1ер110 11 два
раза и при этом содержит всю необходимую информаuию.
Для создания альтернативных нариантоп изображени я УГО
компонента используется панель Mode (р11с. 2.14), которая солер­
жит ncero пять кома11.1 (выбор ю11,тср1�апш1ЮГQ варианта, добанле­
нис, улаленис, пролистыванис варнантоu назад н вперсл).
03 Dар11ант класс1l'1есю111
17
Вар11а11т "А"
Варшшт "В''
Dt
05
EPRCIM DO
АО
DI
А16
Dl
АО
17
DЗ
D4
DS
D6
D7
DS
А16
11
14
1
EPRO!\1
1)0
6
[)7
f
�i
Рис. 2.13. Варианты представления УГО Plash-naмяmu
70
2.2. Создание библиотеки символов
Mode
Х
Рис. 2.14. Панель Mode
г-·-
<J, 11 в
Чтобы создать вариант <<А,>, 1шж11�1асм пиктогршю1у 1'-·-'
•111стой области рисуем новое 111ображение УГО. Может Gыть не­
сколько различных nодходоn, к реалюаuш1 упрощенных 11зобра­
жений. В рассматривае:-.ю:--1 случае (в варианте <•А•>), выuолы азрсс­
ной шины делuются скрытыми и ,,По-умолчанию,, позключаются
к соответствующим цепям АО ... Аlб (о скрытых выводах 01.
рис. 2.6). Общая адресная шина 11 се обозначение внутри УГО со­
здаетсн обычной графикой и текстом. При использован1111 такого
компонента важно по�1юпь, что скрытые выводы уже полклю•1с11ы К опреде;lСННЬШ uспя:--1� Любой KO:\IПOHCIIT 1\ЮЖСТ солсржuть
неоrраннченное ко.'!и 1сстnо а..1ьтерн,1пшных 11зображе11111i, т. е.
если в конкретно,, случае, у ко:-.шонснта на схеме задейстnоnаны
нс все nыnоды, nсег,ш можно
л.обав1пь упрощенное обозначение,
1
содсржащес только Jадейст�ованныс вывоны компонента. Об 11сnолыоnании альтернатиnных\ вариантов изображения cl\1. rлany 3.4
(рис. 3.24).
1
2.2.3.4. Настройки эквивалентности выводов и ячеек
Настройки экnивалентности могут быть со1днны на двух :па­
пах, при созш1юш библиотеки сичволов, 111111 np11 форм11роnа11ии
э,1ектричсской nрин11иn11альной схемы. Но втщ-юм слу•шс 1шфор­
мац11.и об эквива.1снтносп1 будет храниться в сачой схс,1е 11 нс бу­
дет передана n библиотеку. По этой 11 друпш причинам, более
корректно устанаnливать эквивалентность выводов и ячеек имен­
но при формировании бнблиотс•11-юго компонента. Правла бывают
компоненты, у которых экnива,1снтнос1ь выводов оr1рсде;1.иется
то.1ько позднее исходя 11з слс:\ютехнических особенностей. В ло�t
случае также рско�1ендуетсs� установить эквшз;L1..::нпюст1, в биб­
,1,ютекс, а позднее, на плате, разрсшитh 11ю1 запрст1пь 11сnо.:1ьзо­
ван11с :пой информаuии.
Находясь в редакторе схем. выполним ко•.1;знду Tools>Cuniigurc
Pin Swappi11g, в результате которой на экран вшюдин.я окно, IJ ко­
тором показаны все ко:,тоненты ,1a11нofi библ11отек11 с и11фор:щ171
Глава 2. Разработка библиотек и моделей компонентов
"
·,
�
l
!�
•S
1'
(
�
'
'2
,,,.
.,
10
jc,,,.,,.,c.r.om.,,_ IC "
11
--,-
.,"',..__
'°"
,r.r_
.,,,...,
8
�= �
.. - .....
Ptn S11tiм
J
Е
r,..
F-.-UY-8
Р�
�.....
f.. ,,,.,.
Pt ""'
l
2
о
"'
'€
••
{,1,0
Рис. 2.15. Установка эквивалентности для выводов микросхемы
К51 IПУ2
uией об эквивалентности (в правых столбuах). В списке нужно
выбрать необходимый компонент, например, ранее созданный
К511 ПУ2. и нажать кнопку Configшe Component (также :,..южно
выполнить двойное нажатие на названии компонента в списке).
После этого на экране будет окно, показанное" на рисунке 2.15, в
котором закладки Pin Swappi11g, Differcntial Pair S,vappi11g и Part
Swapping, D которых задастся эквивалентность выводов, диффе­
ренuиальных пар и Я'tеек ко�1понентов соответственно.
Эквивалентность дифферсн11иальных пар устанавливается толь­
ко на схеме или плате, а выводы и ячейки могут бып, описаны
здесь. Для определения группы выводов как логически эквивален­
тных, нужно для всей группы nьшодов установ11ть одинаковое зна­
чение в столбце Pin Group. Удобнее всего для этого испоm,зоват,,
uифры, но могут быть также использованы и буквенно-uифровыс
или просто буквенные обозначения. Настройки эквивалентности
ячеек внутри компонента устанавливаются аналогично на вкладке
Part Swapping. Более подробно об использовании эквивалентности
выводов и я•1еск �1икросхсм см. документ АРО 138.
2.2.4. Проверка и верификация библиотеки символов
На этапе разработки символьного изображения компонента
сложно сделать ошибку, но вес же нс релки случаи среди начинаю72
2.2. Создание библиотеки символов
ших пользоватс,1еii, когда случай­
но устанавливаются несколько од­
ноименных выводов, или постав­
_1ен нс нужный скрытый вывод
.1алско от самого сищюла, кото­
0Pt-,N.,..
рый нс так легко найти. В релаJ...-то­
0 P-r1 Numt..
Р] f•> i,{
Г ('<11.dD� 01.1"""'JfwnS.0..ct
ре схем можно проnссти проверку,
которая проверяет наличие необ­
ходимых элементов и фиксирует
дублирующиеся объекты.
Рис. 2.16. Проверка
Для запуска проверки, находясь
библиотеки символов
в редакторе б11блиотски символов,
выполним команду Rcpons>Component Rule Chcck. В результате
на экране появляется окно (см. рис. 2. 16), в котором две группы
проверки. В группе Dup\icatc проверяется наличие одноименных
компонентов и ош1накоnых номеров выводов. В группе Missing
11роnерястся нали•1ие Description (описания), Footprint (посадочно­
го места), Default Designator (позиuионного обозначения), Pin
Namc (имени вывода), Pin Numbcr (номера вывода) и Missing Pins
iп Sequeпce (нарушение сквозной нумераuии выводов). После за­
пуска проверки программа формирует и открывает новый файл с
расширение�1 ERR и названием, соответствующим названию биб­
лиотеки. Если библиотека не содержит ошибок, то этот файл бу­
дет содержать только заголовок, в противном случае будет выдан
отчет об ошибках наподобие рисунка 2. 17. ПсреУень ошибок здесь
представлен в nиде обычного текстового файла и нет возможности
навигаuии по ошибкам, как это сделано, скажем, в редакторах
схем и плат. Ошибки в библиотеке придется обнаруживать и исп­
равлять вручную.
В нашем случае, например, программа вьшает три сообщения,
которые могут быть как ошибками, так и особенностями частной
p,
P�:,ator.
r.surr12
(JIO rocitpr1n�
(D\4>l1cetr J1n li'IJ.l'otc : J.r,i::aaJ.: 3}
1111"1r.� Рш �"lahet 1n �equ<l>C< : S (1 •• 14])
Рис. 2.17. Отчет об ошибках в библиотеке символов
73
-
Глава 2. Разработка библиотек и моделей компонентов
-□-
--..
ra :
--:
.r� .1с'!. 110UcК"1'J(iii1r>orfПП:uJ,1tfo�
рса..1юации си,шола: n резисторе отсутствует посадочное место н D
ш1кросхемс .,:ша вi.шопа с номером 3 и пропущен nьшод но��ер 5
(р11с. 2.17). С 11осадочн1,1,1 мсстоl\1 болес-ченее-понятно, а пот об­
наружить остал1,ныс оuшбки ;,.южет не так просто. Бо.'!ьшинство
ошибок, связанных с созданием с11мnола, относятся к uывода:-.-1, и
J\Юryr быт�, 11.1е1п11ф11ш1рооаны через панель SCH Lib (рис. 2.18).
Ес.111 упорядо•нпь выrюды по возрастанию. то будет видно лубл11руюшисся II недостающие выводы. В конечно:-.-� рсзу.'lьлпс после
проnерк11 окно фаiiл:.1 *.ERR не должно содержать списка оu111бок.
2.3. Создание библиотеки посадочных мест
Пр11 реализашш баз коr-.tпонс1поn u P-CAD использовались
611бл1ютеки олноrо т11па (с расширением lib). Эти библ�ютеки
,южно было ню1.1ать 1штсrрироnанньши. т. к. внуrр11 таких биб­
л1;ютск хран11;111сь сt1,шолы, посадо•1ныс места II сами компонен­
ты. В Altiшп Designer для к.1жлоrо пша объсктоfl и меются спои
б11блиотски, как было сказано nыше. При :этом библиотеки симво­
лоо, по суп1, представляют coбoii библиотеки компонентов, а вот
74
2.3. Создание библиотеки посадочных мест
l mt:plflt:UL pi:uu,,.mupu 11и1,иvичn1Хл ме-1,т
611блиотск11 посадочных мест 11:,.1сют отдсльtюе расширение. При
·ло:-.1 опа:-1ы1ыс три п1ш1 молелсй (3D, Spicc, IBJS) нс 11мсют cnoe­
ro т11п:1 611бл11отек и хранятся в 1нще отделы1ых файлов. Стоит от­
�1етить, •по 6116;11ютека трсх:-.,срных молелей может существовать в
от,1слыюсп1 (*.PCBJDlib), 110 такой подход нс 11спользуется n ко11не11шн1 послслннх вcpc11il программы.
Созлан11е посадочного места нач1111ается с создания новой биб­
Jшотски, для ,,его выполняется команда File>Ncw>Library>PCB
Library. В результате на экране появляется окно, похожее на то,
•rто показано на рисунке 2.19.
Для работы с редактором посадочных мест используется панель
РСВ Library, которая может нс запуститься автомат11чсски. Для
отображения указанной панели нужно наilти ее в группе панелей
РСВ в н11жнсй левой частн экрана. После этого интерфейс про­
гра:-.1�1ы примет вид, как показано на рисунке 2. 19, причем нетруд­
но за:,.1етить, что по у�юлчанию новый документ запускается в мн­
,1ах (mil).
2.3.1. Начальные настройки рабочей области
Для установки настроек выполним Tools>Library Options и на
экране появится окно, показанное на рисунке 2.20. В появившем-
75
Глава 2. Разработка библиотек и моделей компонентов
��do,tien&(mll]
� ......,.,.Ln1
IJnl
[.__
2""'God
Х
f251nm,
.
8
�
LIК< t1r.м
E]U.-a1G,,t
::Я
у
l.1,d 1
Gnd2
1,. Sn,p G.d
fo._sNl)u
,d
.,,
Рис. 2.
астроики ра ючеи о ласти ре актора паса очных мест
ся окне выст:шляются ед111111ны 1п�1срею1s1 (в по:1с U11its), u1ar сет­
ки (Snap Grid), шаг сетки 1v1я устанооки КО!\tnонентов (Co111po11c11t
Grid, для библиотек эт:� сетка нс испо,1ьзуется) 11 две н�1д11мые сет­
ки (Grid I и Grid 2).
В11:111!\1ые сетки з:1,шютсs1 01нос11те;1ыю сетки Snar Grid. первая
должна быть ршзн.� шагу сстк11. вrорuя в десять раз больше, n этом
случае настроi-iк11 сеток AltiL1ni [)1:signcr будут идентичны настроii­
ка:--1 сеток P-CAD. Нrшболсс важвоii здесь яn:1яется сетка Elcctrical
Grid. 11л�1 сетка при11s1зк11, она 011рс11елнет прямоугольную обл:1сть
внутри коt-п<1кт1юii п:юша:1к11. 11p1i захвате -::1 котоrую кур<.:ор за­
_111пает на uснтр� \П11срс 11;я. О11н11я S11,1p 011 Лll Lаусг - ра�nро­
страняет пр1111яжу нз llce сю11. а 011шн1 S,шр То Roз1tl Otttline .10баnляст cwe и привязку к уr,1ам пл;пы (эта 01шш1 нс 11сполыустся
u рслакторе посал.очных �,ест). Осталь11ыс опuш, u данноr,1 окне
11ссуu1сстоснны, ПОЭТU�tу прнН111\lас:., 11JMCHCIIИЯ НЗЖ:1Т11�\1 KHOПKII
ОК. Теперь на ::жр:�не отображас ген сnстл..1н ,стка. а пр11 упсл11•1е­
нии :щ1сштаба 1!зображсн1ш понв11т:�я темна:�.
2.3.2. Алгоритм создания посадочноrо места (footprint�
Пр11ступ11!\t нсrюсредспзе111ю к со:�,1аш1ю посадочнurо r,1ест�1.
КОТС'рОС В l)СЛО,\1 СССТСIП 111 двух ::н:�пов:
1. Ус1'<11ювка ко1пактн1л. :1.1ош:1до1�
2. Ра 1рd6отка гр,н!тчсского II юбр"жс11ш1 кор11уса кuмпоне,па
76
2.3. Создание библиотеки посадочнь1х мест
Создание посадочного !\tсста может бып, выпо.1нсно дву:\1я спо­
со бами, во-первых, вручную, а во-вторых, с по:-.ющью мастера.
Сначала рассмотрим ручной вариант разработки на примере поса­
.'lочноrо места резистора, а затем с помощью мастера создадим по­
садочное место для созщ1нной ранее микросхемы. Для созщншя
нового посадочного :-.1еста nыполню1 команду Tools>Ne\v IЗlank
Compoпent, после чего в панели РСВ Library появится новое поса­
дочное место с условным имснсr-1. Чтобы задать необхолимое на­
звание создаваемому корпусу нужно ныполнип, дnойноii щелчок
мыши на cro надписи в панели РСВ Library (n наше:., случае вво­
д11�1 название Resistor).
1. Для установки контактных площадок выполним команду Pla­
cc> Pad и нажимаем клавишу ТаЬ лля описан11я параметров кон­
тактной площадки. В появившемся окне (рис. 2.21) необходимо
змать пара!\1етры отверстия (в поле Hole lпformatioп) 11 параметры
контактной площадки (в поле Size апd Shape). Кроме этого могут
Оnм, .. нм• форм�., lf0чn.nмo1111
nnощ,,а,дlС'Н
t1mpl• -oдм.,.•ca•UII форм• w�
•t•• cno,.•;
Т op-М,ddlt--Вottoм - отд•n....-..t•
форм�., MJ •н•wнм.а м ОДМНJКО■�
форм• Mil. 8И'f'P8t'WМ ••
futl St-ack • MМA"IMQ.Vil.,,._'10 •
...,..c\t..,.
(iJS.,_ QTcкi-Nalit,oi&cn 0fi.ASцd,.
)(!.�-.
н;·
v-.w.
1'
\t.,.,.
Яoo.rd
Пар1..,tтрь1
oтвtpcnul
(Р.-'1• (
3•У••••• n,t,wц,.,..a n•�w]
�{,....о. ......�NМ\�. -----�·
}----__r
CSocl)__.., .....
_
, ...
о,...
....... в
о
,_.,
с-"'•···
--}-...,..________,1
ei.....,._-...................
-
□-
�-=t_
V•ем"е..,..• -�••
с�,..,.,�..-.
Lо<цО
nowp.,y., мaticott контuтм��•
МО\1.1,.\АКМ "� ••Jt•M•M (мюnс•м)
(.no•
Рис. 2.21. Параметры контактной площадки
77
Глава 2. Разработка библиотек и моделей компонентов
быть заданы дополнительные параметры (см. рис. 2.21), причем
мя площадки под поверхностный монтаж сначала нужно указать
слой в поле (Layer), после чего поле Hole Information будет недо­
ступно. Нумераuию контактных площадок следует начинать с 1,
т. к. здесь указываются номера физических ножек микросхемы.
Нулевой HO:'>fCP контактной площадки обычно используется мя
крепежных отверстий. Для резистора устанавливаем две контакт­
ные площадки, причем первую устанавливаем в начало координат,
а вторую на 10 мм правее (4 шага основной сетки).
2. Вторым этапом разработки посадочного места является раз­
работка графики, nричем она должна быть выполнена в опреде­
ленном слое. Более· подробно работа со слоями в Altium Designer
будет рассмотрена в главе 4.2.3. В нашем случае контур корпуса
компонента должен быть выполнен в слое Тор Overlay. Выбор
слоев производится по кнопкам с их названиями в нижней части
экрана (рис.'2.19). Теперь в выбранном слое будем создавать кон­
тур компонента с помошью команды Place> Line, которая имеет
некоторые отличия от аналогичной команды в редакторе симво­
лов. Во-первых, переключение сеток при нажатии клавиши G
здесь происходит не между заранее заданными значениями, а
предлагается выбрать сетку из списка (или задать пользователь­
ское значение - Sct Snap Grid). Во-вторых, вместо пяти режимов
рисования, здесь каждый режим разбит на подрежимы, как пока­
зано на рисунке 2.22.
Режимы переключаются комбинаuией клавиш Shift+Space и
отличаются ортогональностью. Подрежимы переключаются клави­
шей Shift и отличаются положением начального сегмента. После
завершения рисования корпуса резистора, изображение на экране
должно соответствовать рисунку 2.23.
В качестве особенностей данноrо редактора по сравнению с
P-CAD Pattcr11 Editor стоит отметить следующие особенности:
1. Не ставится атрибуг RefDcs, здесь, как и в редакторе симво­
лов, он будет установлен (по у�юлчанию) автоматически над кор­
пусом компонента. При необходимости изменения положения по­
зиционного обозначения компонента нужно поменять настройку в
поле AutoposШon на страниuс ОХР> Preferences> РСВ Editor>Defa­
ult для объекта Component.
. 2. Не задается точка привязки, и отсуrствуют элементы G\ue
Point (точка приклейки), Pick Point (точка захвата для автоматиче­
ской установки) и Test Point (тестовая точка). То•1ка привязки за78
2.3. Созdание ьиьлиоmе1<u посааочных мест
дана апр1юр11 в нач.1.1е коор,111нат, а то•1ки Glt1e Poiвt и Pick Point
с нcii сав11,Е�.ают, поэто�1у, если возн11каст необходимосп, в их ис-
Prtc. 2.22. Режимы рисования линии
Рис. 2.23. Готовое .посадочное место
79
Глава 2. Разработка библиотек и моделей компонентов
пользовании, следует располагать начало координат в геометриче­
ском uснтре компонента. Данную операцию удобно делать по
окончании разработки посадо<Jного места с помощью команды
Edit> Set Refcrcnce >Center.
Разработка посадочного места резистора закончена, теперь по­
смотрим, как создать более сложное посадочное место микросхе­
мы в режиме мастера. Для запуска мастера выполним команду To­
ols>Component Wizard. На экране появится диалоговое окно мас­
тера создания посадочных мест и после нажатия кнопки Next
будет предложено выбрать тип корпуса и елин11ны измерения
(рис. 2.24).
Component pa11er,15
Pllge N1ruc1>mo
Edge�,;,1
LeocJ,,,,C�c.,,,,..,tLCt:)
Pn G11<1An.,,. lffiAJ
Ouod Рюs IOUAOJ
Rе:;11н.,
SmelOt1w1ePocl-"'7"(50P)
s1_,..i Bol G,,d Mlf/S tSВGд!
St,,w:,od Pn GndAl•41" ISPGA)
� ..-.1 -.id !'O" il'• tou:elo de,o,k 11-ii c:coi,cnenl7
sei..t • ...._
Рис. 2.24. Мастер создания посадочных мест
Далее в пошаговом режиме будет преможено задать набор па­
раметров, которые описывают создаваемую модель, например, па­
раметры контактной площадки, число выводов, толщину линии
корпуса и т. д. В нашем случае созлаем корпус с 14 выводами. На
последнем шаге будет предложено указать название посадочного
места. После завершения работы мастера сохраним библиотеку
нажатием пиктограммы �-
80
2.3. Создание библиотеки посадочных мест
2.з.з. Подключение ЗD моделей в формате STEP
В программе AltiLш1 Designer 11меется возможность создания
библиотеки трехмерных ыоделей *.PCBЗD\ib, однако стоит отме­
тить. что такие библиотеки являются атавизмом более ранних вер­
сий программы. Сейчас модель компонента, ранее созданную в
любой из САПР твердотс,1ыюго моделирования и сохраненной в
формате STEP, можно добавит�, к посадочному месту напрямую.
Рассмотрим данную возможность на примере резистора.
После создания посадочного места резистора (как показано на
рисунке 2.23), к нему можно добавить трехмерную модель, кото­
рая затем на плате будет закреплена за его посадочным местом.
Для этого в библиотеке посадочных мест выполним команду Pla­
ce> 3D Body. В появивше:v1ся окне выбираем тип модели Generic
STEP Model и затем нажимаем появившуюся кнопку Embed STEP
Model (Работа с моделями в редакторе плат будет описана более
подробно в глаnе 4.8). Будет предложено указать файл модели, в
качестве которого выбирается модель резистора в формате STEP.
После нажатия кнопки ОК, к курсору будет привязан розовый
контур с заливкой в виде сетки (если работа ведется в 2D режиме),
который представляет собой проекцию модели на плату. Размеща­
ем этот контур в произвольно\-1 месте, после чего будет предложе­
но разместить еще одну модель, от чего следует отказаться нажа­
тием кнопки Cancel. Теперь необходимо совместить установлен­
ную модель (которая пока отображается в виде проекции) с
посадочным местом, что удобнее сделать в режиме трехмерного
просмотра (для чего нажимаем клавишу 3). При включении режи­
ма ЗD на экране будет видна модель резистора (рис. 2.25), если
этого не произошло, то либо отключен просмотр моделей, либо
компонент расположен за пределами видимой области. Нажимаем
пос ледовательно клавиши V>F (масштабировать объекты во весь
экран) и далее нажимаем клавишу L. для отображения настроек
просмотра и здесь включаем отображение моделей в группе 3D
Bodies (см. рис. 2.26).
Теперь необходимо выполнить несколько операций, по привяз­
ке модели и посадочного места, в нашем случае, достато•rно со­
вместить выводы резистора с контактными площадками. В общем
случае может потребоваться очень сложный набор действий, по
привязке модели, и это, в первую очередь, зависит от того, как
бы ла создана модель в механической САПР. Рекомендуется при
81
rлава 2. Разработка библиотек и моделей компонентов
Рис. 2.25. Добавление модели резистора
Show S• 30 Bocies
Show STEP "4odels
� 5 how Snc,p PorHlc,,kels
Рис. 2.26. 1/астройка отображения моделей
форми110Rании моI1ели в исхолной САПР базировать первую нож­
ку мол.ели в начале координат и ориентировать 11юде:11, опюс11те­
льно плоскости ХУ (прелnол:.1гая, что эта n,1оскость соответстnу-:т
плuте ).
Чтобы выровнять �юдель, от11ос1пе:1ьно П.'шты 11:1и за1щ111юii
грuфик11, 11спо_r1ьзуется группа команл. Tools>ЗD Body Рlасешс1н
(ко�1анлы которой доступны то_r1ько в трехмерно�• реж11ме) ..аля
nращення nи;1.а изображенш1 11сnош,зуется правая клаnнша мыши
с нажатой кнопкой Shift (эти ко,шнды описаны n гл. 4.8). Итак,
развернем компонент обратной стороной 11 выполш1м команду
Tools>ЗD Body Placenient>Position 3D Body, позrюляюшую совмес­
тить модель и графику по ошюii точке. После запуска комuнды
курсор принимает вид маленькQrо крестика, 11111 необходимо ны­
бр:iть модель резистора.
Теперь кур_сор пр11ни�tаст 1.нщ бо,1ыuого кресп1. (голубого 1ше­
тu), который двигается по 11с1шю1�1ым у-;ла�t 1110I1еЛ11. Выб11раем
эти111 курсором uентр первой ножки резистора (рис. 2.27 - слева),
после чего курсор снова 111ею1ет вид, 11 теперь представлен в виде
синего nринела. Перемещаем курсор u uентр nepвoii контuктной
площалки, и когда он поменяет 1щет ни зсленыii (рнс. 2.27 82
2.3. Создание библиотеки посадочных мест
Рис. 2.27. Совмещение моде11и и посадочного места
Рис. 2.28. Готовая модель резистора
справа), что говорит о попадании в привязку (Electrical Grid),
фиксируем положение резистора. Теперь резистор совмещен с по­
садочным местом (рис. 2.28), а если этого не достаточно, то мож­
но воспользоваться другими ко:.шндами управления моделями в
редакторе плат (см. гл. 4.8)
Работа с 3D-моделями в редакторе плат nол.робно описана в
главе 4.8 и документе стандартной документашш TU0132.
2.3.4. Проверка и верификация библиотеки посадочных
мест
Проверка корректности создания посадочных мест проводится
аналогично данной процедуре в библиотеке символов, т. е. с по83
Глава 2. Разработка библиотек и моделей компонентов
tompo"111 Rala Ch8ct<
�
c,,,,,i,.,..,
E)1,1,,�P,>dN,0"41DocH:"""""""
E)on..,t,,,,,co,,,,i�.,.,"'""
ГoQI �
Рис. 2.29. Проверка посадочных мест
мощью команды Report>Component Rule Chcck. При этом на эк­
ране ртображастся окно, показанное на рисунке 29.
Здесь программа проверяет дублирующиеся контактные пло­
щадки (Pads), примитивы (Primitives) и посадо<1ные места (Footp­
rint). Кроме этого, рекомендуется проверять наличие слелующ11х
объектов:
• Missing Pad Namcs - названия контактных площадок, имен­
но по ним автоматически будет проводится сопоставление
вьш6дов символа и ножек микросхе,1ы;
• Offset Component Reference - сr.1ещение то 1 1ки привязки, вы­
дастся сообщение в том случае, если 11ривязка расположена
не в проекции посадочного 1\tсста;
• Shorted Coppcr - псресе<1сние объектов на слое металлиза­
ции;
• Unconnected Copper - неrтодключенные объекты на слоях
металлизаuии:
• Check АН Components - проверять все компоненты, в про­
тивном случае проверка булет выполнена только для актив­
ного компонента.
Поиск и исправление ошибок в данном случае являются пол­
ностью ручными процедурами, и выполняются аналогично подоб• ным дсйстпиям в редакторе симrюлов (см. гл: 2.2.4)
2.4. Редактирование библиотек
(List, lnspector и т. д.)
После создания библиотек, может возникнуть задача внесения
изменений в графическую часть. Редактирование может касаться
84
2.4. Редактирование библиотек (List, lnspector и т. д.)
o.'11-t0ro конкретного сш,вола и �южет соnержать набор простейших
пронедур. таких как юме11е11ис то,1ш11ны линий 11:111 нуr.1сраш111
выводов. В этой главе будуг рассмотрены вопросы, касающиеся рс­
дактнрощ11-111я нескольких ко:-.1гюнентов 11л11 нескольких объектов
нз од110!\1 ко!\1понснте, т. е. nощюсы, затрагиnаюш11е глобш1ыюс
редактирование. Рассмотрим три самостоятельных примера.
Пример №1. Имеется символ многовыводной микросхемы н
стандартной библиотеке Altium. Необходимо адаптировать символ
,r�лн ис110льзоnан11я на схемах 110 требованиям ГОСТ, т. с. поме­
нять длину выводов, выровнять их по сетке 2,5 и изменить графи­
ку CИI\IBOЖI.
Рассмотрим решение поставле111-юй задачи на ко,�поненте
ADC-R, который расползгастсн в станлартной библиотеке Miscel1:шcotts Oe\·iccs.IntLib. Чтобы скопировать с11,шол ю исхолной
G116.11ютеки в б11б,11ютеку по:1ьзопателя, нужно одновре,1снно от­
кrшт1, обе 611блиотек11. Пр11чс,1 ранее бы.10 показано, как создают­
ся б11бл11отеки е11,шо,1ов 11 бибтютски посадочных мест, а н каче­
стве исходной библиотеки будет 11спользоваться интегрированная
611блиотекu. Открыть эту библиотеку можно стандартной ко�1ан­
дой Filc>Ope11, при•1ем, при попытке это елелать на :экране булет
r.оказан nonpoc (рис. 2.30).
ПрсJl.1.1гается uыполюпь лnа лсйстmн� над библиотекой: Extract
Sollrccs (открыть) и lnstall· Libraries (устаношпь). Немного позднее
6улст описан порядок создания интегрированных библиотек 11 там
будет сказано, что устаноuка библиотеки нужна для последуюшего
сот1а1mя ехс:-.1ы из ее компонентов, поэтому в д.1111-ю�, с,1у•1ае вы611расм Extract Soшccs. В результате в панели Projects бу,1.ут загру­
жены :ше t1116тютеки (сюню,1ы 11 поса1ю•111ые места}, объели11ен­
ные фа11лом проекта Misccllaneous De\·ices.LIВPKG.
\\lмl do yw WQt\ \о do ...�. 11-i: negal!d 1Ьtry7
E.t1-'CI So,1c� w,1 ,-,,1,a,ct �,е s?Uce lt<:11,e1 u:ed to
� � JJeg>Jed 1Ь � N°od CI� _, r,eg,nd IЬ<try
rrc-r
1 t.,ilb,ry
r.st<.41helt;11y 1tw,wlodditюtt"
IЬ= p,or,d.�yo,.,to
l1м,llw
co,rc,onent1cf\dfoolprr.ti
Рис. 2.30. Открытие интегра.л.1,ной библиотек;1
85
Глава 2. Разработка библиотек и моделей компонентов
LIЬr
После этого открьшасм из
____
• �
панели Projccts библиотеку
CQЩ)()nel,1.$
символов, находим в ней ком­
Oete<,plion
...,
\) 2N3904�--N_1 Gen_er_
__
e.6m
Pllll_
Pu!
po$
понент ADC-8 (через панель
U 2NЗSOO
PNP Gene,61 Р� М1. .
SCH Library) и копируем его с
u№•йе:м Select а1
iJ Ante1t1a
помощью команды из под пра­
Updмe Sc:t--.emdtк: Sheets
0 BaHeiy
вой клавиши мыши (см.
r.\odeJ�
U Bel
рис. 2.3·1). Соответственно, да­
D Brid9e1
t) Bridge2 1 Cut
лее открываем библиотеку по­
U
Buzzer
льзователя, нажимаем правой
� Сар
ююпкой в списке KOMПOHCIITOB
Dt:�e
�Г
панели SCH Library и выбираем
Рис. 2.31. Копирование
команду Paste. При необходи­
компонентов из библиотеки
мости копирования нескольких
компонентов их следует выделять с нажатой клавишей Ctrl.
-Первым действием исправим длину всех вывалов компонента,
мя чего их необходимо все выбрать. Нажимаем правой клавишей
мыши на любом ,выводе компонента и выбираем команду Fi11d Si­
milar Object (Выбор подобных объектов), в лоявивше!'.1ся окне пока­
заны свойства Pin (вьнюда). Убеждаемся, что в нижнсi,i •�асп1 вклю­
чены все опuии, кроме Creatc Expression и нажимаем кнопку ОК.
В появившейся панели lnspcctor
изменяем длину вывода в строке
' ,.
lncц!t, i.�21�' h о• m �2!М":!Ш.t �
Length на 2,5 мм (рис. 2.32).
�
Обратите внимание, что о за­ 8
O�Кind
Pin
головке панели указано From �n
1.
Current Componcnt, т. е. указан­
BookSdL.Ь
1 Owner Docunenl
IJ
ное действие применяется для 13 G,aphic<>I
■< о.>
11'
выбранного компонента, а о об­
'
щем случае данное действие
У!
< ... >
Hide
можно было применить ко всем
-.
o,••,i�
< ••• >
компонентам библиотеки (д,1я
1.a:ked
L
ShowName
этого на предыдущем этапе в
�
панели Find Similar Object надо
�h��:a
50&,vn
)
было также указать область вы­
�� т.аё
№'i>yrr@
IEEE SJ'111,o1 O<Ьidc
No SymЬ.:I
бора - все компоненты).
Р.
Телерь привяжем выводы 1 !' oЬfecl(s) ore ci:played" 1 �•)
Рис. 2.32. Изменение длины
компонента к сетке 2,5 мм. На­
выводов .микросхемы ADC-8
жатием клавиши G, добиваемся
---
.
г�
86
2.4. Редактирование библиотек (List, lnspector и т. д.)
оJ<Лючсния сетки 2,5 мм (Если включена миловая система коорди­
н:п, ее необходимо nерсклю'lить на метрическую через Tools>Do­
cument Options). Нс снимая выделение с выбранных выводов, вы­
полним команду Edit>Align>Align То Grid, что позволяет выров­
нять выделенные объекты по активной сетк�. Последнее, что
остается выполнить для адаптаuии выбранного объекта к отечест­
венным ГОСГам, это перерисовать графику символа. В данном
случае проще удалить старый символ и заново нар11соватh новый.
Таким образом, на полгонку симво.1а даже са�юй с.1южноИ �шкро­
схемы может уйти нс более 2 минуr.
Пример №2. Имеется многовыводная микросхема, со:шание
символа которой ведется с ну;�я. Для этой микросхе;,,1ы имеется
Datasheets в котором ш1ена вы1юг.ов nрсдсп1я.1сны n табличной
фор:.1е. Ускорить создание такого с11м1ю:щ можно с помощью па­
нели List.
Начало разработки такого с1щrюла ничс:\t нс от.111чnетсн от
обычного, но при раз�1ешении вьшодов, n их свойств;-�х 1адаются
только номера, поле Name остается не :1аполне11Ны\1. Так кзк но­
мера проставляются автомап: tJески. размссппь riю6ac ко.1и•1ество
выводов не сост.шю большого труда. Лос,1с этого, nызываем тта11ель List, которая может быть запущен� комбинацией клаnиш
S/1ift+FI 2.
,,oi.-.... 1._ ....__
Рис. 2.33. Вставка нумерац1ш выводов из таблицы DalaSheets
87
Глава 2. Разработка библиотек и моделей компонентов
В этой панели (рис. 2.33) показаны все объекты текущего ком­
понента n табличной форме, которые могуr подвергаться редакти­
рованию. Панель List имеет четыре кнопки управления, который
показаны uифрами на рисунке 2.33:
1. Режим работы: View (просмотр) или Edit (редактирование);
2. Объекты: Sc1ccted (выбранные), Non Masked (нс nыбранные),
All (все);
3. Область: Current componcnt (текущий компонент), А\1 com­
ponents (вес компоненты);
4. Примитивы: из списка выбираются примитивы с которыми
ведется работа.
После выбора всех настроек, как показано на рисунке 2.33, в
таблиuе будуr показаны только свойства выводов. Выраnниваем
таблиuу по столбuу Pin Dcsignator, по номерам выводов. Тепер1, в
столбен Namc необходимо ввести имена выводов микросхемы, и
здесь даже в ручную эту задачу выполнить гораздо легче, чем в
поле редактора. Одна1<0, в нашем случае, данная информаuия
имеется в табличной форме, поэтому копируем столбеu с именами
выводов из Datasheets. Команды копирования, удаления и вставки
работают аналогично данным командам в редакторе таблиu Ехсе\.
Пример №3. После импорта из P-CAD некснорые линии сим­
волов отображаются толще других, и вес тексты имеют точку при­
вязки. Необходимо сделать все линии одной толщины и убрать
отображение точки привязки для всех компонентов библиотеки.
Для решения этой задачи, находясь в режиме редактирования
любого компонента библиотеки, нажимаем правой клавишей на
позиuионном обозначении и вызываем команду Find Similar Ob­
ject (если позиuионные обозна11ения не отображаются в библиотс_­
ки, то необходимо включить отшю Always Show Comment/Desig­
nator в настройках Tools>Document Options). В окне Find Similar
Objcct задаем настройки в соответствии с рисунком 2.34, причем,
обращаем внимание на то, где будуr выбраны объекты (нужно вы­
брать AJI Components, чтобы выбирались объекты во всех компо­
нентах).
После нажатия кнопки ОК, запустится панель lnspector, в ко­
торой также надо выбрать работу со всеми компонентами, в верх­
ней части панели (см. рис. 2.34). Прежде чем вносить правки, убе­
дитесь, что в статусной строке панели lnspector написано обшее
число позиционных обознаtrсний (оно равно •1ислу компонентов в
88
2.5. Создание интегрированной библиотеки
rlnd Slmflor Objвcls
incblo ..,....,,о1оЬrх!! "
Е1 Kind
01'.,,с•�
[3 Oe11gn
-а- ос,с;;,..,.
�Oj>hм:oJ
Г-с:оiё,
У1
Fcnltd
Q..,-,toll(W!
H..-,to/ Ju:1iicolюn
i�
Vo,t.:alJustkalion
iPJS·
� -�.,
г - pec
-
le><!
Des,g,oltc
n"1SI.Sc,U,
!Кi'iid
01,iedl..m
Des,g,ato,
о-[)""""""'
Cr\Duocooooned
СоЬ
8ЗООбО6
D!!!QI!
< >
Х1
1
-�--
��
о--
(
(
...
�
5..,.,
305rm>
дNJ
Arv
дпJ
{Fon1]
l>п;
2.54,,,n
OD•glelt
)
... )
ODE9иs
щ
Boitom
Lelt
дnj,
BottOIII
д,,,j
Arv
":!� ]__
<.• )
<.•. )
56 щос�s .,, o,plaj,ed" 1 docwwJfl/
щ
1>1-,
а0 Zoom M<>ldq 0 �elect Moic
8Qo" E>aSЩI oo..i..E,""'
В M<>il- Mьtdw,g ВВ.1111,-.spocla
[ &W ]
Otc.
1 [ Concei
Рис. 2.34. Выключение опции отображения точки привязки
позиционного обозначения для компонентов библиотеки
6116лиотекс). После этого можно D поле Autopositio11 поставить
галку, которая скрывает точку привязки текста. Аналогичным об­
разом исправляем толшину линий по всем компонентам библио­
теки.
2.5. Создание интегрированной библиотеки
2.5.1. Особенности использования интегрированных
библиотек
Теперь мы имеем в своем распоряжении две библиотеки, в одной
хранятся символы, а n другой - посадочные места резистора и мик­
rосхс:-.1ы. Последним �тапом нашей работы, по результатам которо­
го :-.южно будет использовать полнофункuиональный компонент на
схем е и плате, является установка ссылки для компонента на модель
посадочного места. Данная пронедура может быть выполнена раз89
Глава 2. Разработка библt1отек и моделей компонентов
личными способами и есть несколько вариантов реализаuии биб­
лиотек. В рассматриваемом примере будет показан подход, который
предполагается разработчиками, а и�1снно - хранение всех ко:-.шо­
нентов и моделей в виде шпсrрированных библиотек.
Для создания схемы достаточно иметь библиогеки компонентов
в виде *.Scl1Lib и *.РсЫiЬ в структуре проекта, но в этом случае
усложняется проuедура структурнрованш1 библиотек и последую­
щего оборота библиотек ннутр11 предприятия. Файлы библиотек
символов и посадочных месr рскш.1сн.1уется объединять в проект
библиотек, которыii носит назншше 11нтегрированноii 611блиотек11.
Рекомендуется при создании схем испо,1ьзовать тол1,ко интегриро­
ванные библиотеки (на следующем шаге они могуr быть перефор­
мированы в бюы данных (БД) библнотек, см. гл. 2.6). Использова­
ние интегрированной биfiлиотеки имеет следуюшие преимущества:
все символы, мо:�елн Spicc и посадочные места хранятся в едином
файле, имеется возможкость ко�1ш1люши библиотеки, за счет чего
достиг.1ется ее отл.�дка и, кро�1е этuго, интс1·рированныс б116,1иоте­
ю1 можно использовать дл1 создания БД.
Для создания интегрированной библиотеки выполним команду
File>New>Project>l11tegratcd Library, посл1: ,,его в структуре панели
Project добавится новый документ, который нужно сразу сохра­
нить, нажав на нем npanoй кнопкой �1ыш11 и выполнив команду
Save Project. Теперь необходимо 1обавип, R струк-rуру созданного
проекта, ранее созданные библиотеки, путем их перемещения в
дереве панели Projcct (см. рис. 2.35). Стоит uтметить, •-по создан­
ный файл имеет расширение '�.LibPi<g, а нс *.lntLib, т. с. н н.�стоя­
щий момент мы и11,1ее,1 нс саму i!Нтеrрированную биб;11ют.::ку,
файл заготовки, ю котороrо бул�т сфuрмирована 11нтеrрироnанна>1
библиотека в пронессе компиляции.
1
•
·•
.. <1 "• •
�1 Dsr,w•
•
• r )1
• !\,,/м,:,,,:еJ
LtJ'lчl
,-=�=
====;,[ f1t;,d 1
,......,__,,--��
-
Sou, • о.....� PdU,.Ptt-
ъ
�r"v.... Qs-r-
РсЬLс f<Ьlt.
Рис. 2 35. Ca,дJHt.;t! t.:tt'!t'.>гpupoвaннoii. 611.блиотек.и
90
-�
2.5. Созда1fие интегрированной библиотеки
2.s.2. Подключение моделей
2.5.2.1. Подключение посадочного места
·--
Кота осе нужные библиоте1--11 находятся n структуре интсг­
r1 1ро1.1а11ной б11бл1ютск11, 1\ЮЖ­
но 1\ЫПОЛШIТЬ ПOЛК.'llO'ICIIIIC -.ю­
к
JC�i'r
соотвстствующю1
!-:О.\tnонентам. В наше.\1 с.1учас
11сuбход11\ю открыть б116.'l110те­
'-У Cll\l!IOЛOB И 11аж1ть кнопку
Add Footprint в окне подк.'lю 1 1е­
н�tя мо:1елей (01. р11с. 2.35).
В резу,'lьтатс ,ш экране пояModel
РСВ
окно
1.11пся
(р11с. 2.36), где после нажат11я
к�юпк11 Bro,vse необходимо ука­
за� ь 11ужн ы й корпус ( н окне РСВ
и::;�
!\1odcl 11.\tеется еше несколько
Рис. 2.36. Подключение
кош11щ для добuвлсния моде­
посадочного места
лсii, но r1p11 описанном uышс
nодхоле к рсал1в:щ1ш б116.1' JЮтек. когда все нужные 1\Юдел11 уже вклю 11ены в структуру проекта,
испо:1ьзуетсн то.11, ко кнопка Browse). Причем, посадочные места,
,1оступныс по кнопке Browsc, сразу имеют ссылку на библиотеку по­
садочных :-.1сст, которая и.\1сстся в интегрированной библиотеке.
Бу.1см считать, •по топологическое посадочное место (ТПМ)
д.'НI разрабатыш1смого нами компонента схемной библиотеки микросхемы К511 ПУ2 сформироnано и сохранено в РСВ-библио­
т�кс под именем DIP\4.
В открывшемся лиа.'lоrо,юм окне просмотра библиотек Library
Browsc (рнс. 2.37). Поскольку библиотека book.PcЫib, содержа­
uшя ТПМ DIPl4 t1клюLJена в дерево проекта book.LibPkg, поиск
сразу обнаруживает его.
I3 полях окна (рис. 2.37) отображается имя библиотеки, имя
ТП М н его описание, а также графическое изображение контура и
контактных площадок. После выбора нужного посадочного места,
кнопкой ОК окно закрывается, а данные 113 его полей передаются
в окно РСВ Modcl (рис. 2.36). Завершить проuелуру подключения
ТПМ кнопкой ОК в окне рис. 2.36.
........
91
..........
Глава 2. Разработка библиотек и моделей компонентов
Рис. 2.37. Выбор библиотеки и посадочного места
Прн выборе модели про­
грамма aOTO\ЩТll'IeCKII сопо­
станлнет нуl\1ераш1ю оыволоо
симuола, номерам контактных
плошалок на поса1юч1ю�1 :-.1естс.
Когда эта нумераuия соопадаст,
nля согласова1111я символа 11
ТП М не требуется ;юполн1пс­
1....wncd
ок
лы1L1х проuелур (как 11апри,1ер
Рис. 2.38. Согласование вынодов
·шпо,,нен11с таб.11щы в Library
символа и площадок модели
Exccнtive u Р-САО). Проверить
согласование uы1юдоn символа
11 п.1ошалок ТПМ можно 11а этапе коl\rnишщ1111, 11ли оо время ра­
боты - оыбрао uшюд на с11:-.шолс. f.iулст подсвечена контактная
площадка на молели u окне предварительного 11росl\ютра.
Бывают ситу.1111111, когда ю1сна выводов нс совпадают с имсна1\Ш п.1ощало!( \ЮдсЛ11, например при создuнии дио,1а. в с111\шолс
вьшоды был11 названы А(анод) и К(катол), а на �юдели пло1щщю1
имеют сквозную нумераш1ю ( 1,2). В это�1 случае. после выбора
жщсли, находяс1, в окне РСВ Model (р11с. 2.36), нажимаем кнопку
Pi11 Мар, в которой нужно в столбце l\,]odcl Pi11 Desig11ator напи­
сать реальные имена uыоодоо модели. В обшем случае рекоменду­
ется нс допускать КОl\1Понснто11, у которых нс соопадают имена
оы1юдов на символе и на модели, u крайнем слу•1ас их необхолимо
будет согласооыr�ать через ,жно Model Мар (р11с. 2.38).
J
92
2.5. Создание интегрированной библиотеки
2.5.2.2. Подключение модели Spice
В библиотеках Altiuш Designcr SPICE-1\IOLlCЛИ компонентов
обычно хранятся в состаnс интсгрироnанных библиотек. Разработ­
чикон интересует проuелура присоединения <,сторонних» ;-.юделей,
сnсLiиально разработанных для отечестnенной компонентной
базы. Эти модели представляют собой отдельныt текстовые фай­
лы, составленные по nранилам SРIСЕ-технолопш моделирования.
Он11 могут храниться в пользовательских кат::uюгах фай.1овой сис­
темы компьютера.
Имена файлов моделе11 дискретных компонентов имеют рас­
ширения *.mdl, а имена файлов макромоделей - расширения
*.ckt. В целях упрощения проuедурыпоиска, а также для объеди­
нения в дальнейшем, компонентов схемной библиотеки и моде­
лей в интегральную библиотеку, начнем процедуру подключения
SР\СЕ-моделей с включения их в структуру библиотечного про­
екта.
Рассмотрим проuесс подклю,1еиия Spice модели на примере ди­
ода, создание которого нс было описано в предыдуших главах, но
было сказано, что выводы символа диода имеют имена А и К. Для
диода потребуется модель, которую преднарительно необходимо
поместить в папку проекта библиотеки и затем уже добавлять в
структуру проекта. Воспользуемся моделью диода IN4003.mdl, ко­
т орую можно найти в папке ... Library\Miscellaneous Devices, кото­
рая по умолчанию отсутспзует в каталоге успновки, но появляет­
ся после открытия интегрированной библиотеки.
После копирован11я этой модели в папку проекта, в Altium De­
signer, шелчком правой клавиши мыши на имени библиотечного
проекта в панели Projects вызываем контекстное меню Add Exis­
ting То Project и в появившемся окне выбираем тип файлов Mi­
xed-sig11al sim model (*.mdl, *.ckt, *.lib). Указываем расположение
ранее скопированного файла, после ,,его структура проекта будет
и,1сть вид, как показано на рисунке 2.39.
Далее кнопкой Add в поле моделей активизировать процедуру
поиска II присоединения SРIСЕ-модели к компоненту схемной
библиотеки.
Открывается диалоговое окно поиска модели Sim Model
(рис. 2.41), в котором следует нажать кнопку Browse и в появив­
Wемся окне указать необходимую модель (в данном примере,
1 N4003.mdl).
93
Глава 2. Разработка библиотек и моделей компонентов
Workpace1 Dsrlw1k
• 1[Wo,►�ce]
--=---=========:;
bookll,_f'k_!l
_____�I[ Рт� j
0FieView QStructu,-,EdtOI
(jl/J · 1
Ьооt.LiЬPt1 •
8 ..:J S01.1ce Doc1n�nl•
� Ьооk.РсЬliЬ
•. Book.SciUI
lffi) 1N4003md
&
i'I
1!1
Modo(
, lfи,e
--
1 Footp,rt
§l}jff# ..,
{;JРСВЗD
L) Sq,,iln1 <,;Jty
AddГ<tdfmt
Рис. 2.39. Структура проекта
ltoc,,,on
1 • 1 в.,,.о,,е 11
�dt..
Рис. 2.40.
Добавление модели Spice
1
l
Слнсок
11се.1 '!оцелеll
npoe1,.--ra
Рис. 2.41. Выбор моде.11и Spice
Если ссылка на файл молели присуrствует в дереве проекта
*.LibPkg, в полях-закладках в нижней части окна рис. 2.41 отобра­
жаются сnелсния о подключаемой r-юдели:
• Nctlist Template - строка форммьных параметров;
• Netlist Prcview - образеu текстовой строки SРIСЕ-описания
транзистора в защ1нии на молслнрование;
• Modcl Filc - текст файла описания SРIСЕ-модели.
94
2.5. Создание интегрированной библиотеки
Mod,\I Kind Pi11мiete1s PO!I М�
После оыбора т11па !\Юде.'111
._____-1
обязате:1ьно нужно 11ровср11ть
ModelPln
S�Pln
оы1юдов,
соrласоо..1н11е
на
Not Corтiected
д(Щ
вк:rалке Port Мар (рис. 2.42).
'
Есл11 при подклю•1ею11t по­
Not Comected
с.�дочного места моле.1ь •шщс
1 lдl
всего настраиоастся а1по!\1зп1чсск11, то пр11 подк.1ючен1111 �юж:1сii Spicc чаше бывает наобо­
Рис. 2.42.
рот. Так пр11 IIOЛKЛIO'ICIIIII\ �\О­
Сопоставление выводов
к
.1с:111
�1ногогсiiтово,1у
КО;\tпо11енту, Spice описание
rн,1полнс1rо только д,1я o.11юii sР1сйки, 11 лля остальных ячеек тре­
буется указать сопост:шле11ис uыводоо с11мво.1а II выводов модели.
Есл11 создается с11!\111ол о.1ного из базовых элементов (напри­
,1ер, тра11з11стор, рез11стор, шюд, н т. д.), а молель в формате Spice
отсуп.:тnует, то се можно получ11ть u редакторе с11м1ю.'!ов с по1'.ю1111,ю r.tacтepa. Для этого ззпускастся КО!\tанла Tools>XSpice Model
Wizard, с по�юш1,ю котороii, в1юдя информаuию на каждом шаге,
может 61,пь получена мo.1e.'lh на языке Spicc.
.
2.5.2.З. Подключение модели IBIS
Для ;-.юдел11рова�шя паразитных эффектов в печатном монтаже
нсобхо.111!\Ю вк.'!ючит1, в описание компонентов схемной 611блио­
тск11 модели входных 11 выходных буферов интегральных мнкро­
схем, электрических выводов других компо11ентов. Модель зави­
с11т от пша, схемотехн11ки и технологии изготов.'!сния компонен­
та. Обычно задаются значения акт11вного входного/выходного
сопротивления и вхолной/выхонноft емкости. Модели бывают
1111е1uю1с, постаRЛЯС.\IЫе пронзводителямн KOMПOIICHTOB и встроен­
ные, параметры которых зал.1ются непосредственно в среде редак­
тора библиотек.
Проuе..1ура пр11сосдш1е1-111я моnслей Sig11al lntegrity ( 1131S-модс­
,1ей)· не отл11частся от процедуры присоединения моделей ТП М
нm1 SPICE-мoдcлeii. Для присосд1111ения -1ВIS-\юдсл11 надо акти­
визировать вид модел11 - Sig11al I11tegrity (рис. 2.40). Открывается
лналоговое окно Signal lntegrity Modcl (рис. 2.43).
Для подклю 1 1ения встроенной моде.1111 сфор"1ированного дио;�.а
(его nыuодов) указать n по.1с Туре 11мя Diodc, н поле описан11я,
Descriptio11, ввести 011иса1111с Diode_14003.
95
Глава 2. Разработка библиотек и моделей компонентов
-
Nocl,iN..,.
>4<,d,H...,..
ю-
�p-
-----_- _-_ -_-_-..,:-_-_-_-'-
T;w
[ ,..,.,,,
Рь:._..•
Ге1�
Tec),,o,og,
Cr-t...A
C�-4d
"-
r,,
11 [.,.,,! 1
Рис. 2.43. Подк.лючение 18/S модели
2.5.3. Компиляция и верификация интегрированной
библиотеки
Теперь мы имеем б11бл11отску, содержащую три компонента,
но, прежде чем се использовать, нсобхолимо DЫполюпь проверку.
Проверка �южст быть выполнена по отлельност11 на каждом этапе
разработки, т. е. отдельно проверяются с11мво.1, посмочное место
и т. д. (что было показано в разделах, относшш�хся к созлан11ю
этих библиотек), или можно выполшпь полную проверку библио­
теки компонентов. Общая проверка библиотеки ко,шонснтов вы­
полняется компиляuией, по результата;, которой будет сгенериро­
ван файл с расширением *.lntlib, который можно n дальнейшем
использовать для работы при создании схем. Итак, выполним
комп11ляuию проекта Project>Compilc Jntegrated Libr.нy ... , по резу­
льтатам которой интегрированная библиотека будет автоматиче­
ски подключена к программе и rото1ш к использован11ю. В нашем
случае мы нс <,-тали задавать настройки компиляню,, т. е. провели
проверку библ11отеки по правилам заданны:-., <,по уl\юл•1анию,> и
соответственно," избежали как11х либо ошибок в проекте.
При комш,ляuии наиболее важной проверкой является опрсде­
лещ1е наличия для всех выводов на символе. ответных частей на
модели, и в случае отсутствия будет вьщано сообщение, аналогич­
ное рисунку 2.44.
96
2.6. Создание библиотени на основе базы даннык
� ���-�-,...
Doa,,-,o,i
Sa,,;, "',--.,..
--,-.,Oo,,,_ C.U, ,0 � 11М ,• .,, :ro.1e1,м(W I0•001
B0<• St;u -uщw
E....scN.Ь
Cc,,di, Oiodr [cudrd1№pert'ZcnllQJl/1¼.(1?1oac,r,
![ICI)
Ь.» St'iJ>
\!'"°'1 e�SotЬ
1(81111
�SdUI
J..-1 еw.sсН.Ь
IIШ,1
ll 13SI
UЭ ОС 2!CJ
ео.. 1:5111"-ll Co.lislt'IWPl)117m�1t..Ь'lc,c,n13
!Щ!,О
03/'\!ZOOS 6
�- l.511� CoJrlrdln!Pl)1'!1'cnomW.....,.lrwc,n'!I'
� "511r\11Co.ldrdfnl!#11Ua,IO!rlr-•bpr,10'
\llj!,Q
� t�,11�: CoAilrdfldi,a111'011""'81'1ts::u·111tc,,,11'
lt!J�
141)!'(
1
rocz;:ms 7
CJ0/2009
СЗО12009
Выделенное на рисунке сообщение буквально говорит о том,
что у компонента KS 11 ПУ2, л..1я вывода No 12 на симво.1е отсутст­
вует соответствующий вывод на модели. Для обнаружения этой
ошибки в самом редакторе необходимо выполнить двойное нажа­
тие на тексте ошибки в панели Mcssages. На этапе компиляuии
проекта библиотек.и необходимо добиться полного отсутствия
ошибок! Более подробно о компиляuии проекта сказано в разде­
ле 3.8.2.
2.6. Создание библиотеки на основе базы
данных
Как правило, в стандартных библиотеках большинство компо­
нентов отсутствуют, и рано или поздно встает вопрос, как о созда­
нии перечня элементов, так и доступности компонентов и их мон­
тажа на печатной плате. В этой главе рассмотрен вопрос создания
библиотеки на основе базы данных для того, чтобы непосредст­
венно из нее выбирать компоненты и применять их в электриче­
ской схеме.
Затраченное время на подготовку базы данных с лихвой окупит­
ся простотой поиска компонентов (вид изображения компонентов,
посадочных мест и других моделей непосредственно отображается
на соответствующей панели) для проекта, так и отсутствием необ­
ходимости ввода всех параметров этих элементов в SСН-редакторс.
Более того, можно будет отказаться от инсталляции всего множе­
ства созданных разнообразных специализированных библиотек,
ориентированных на определенный класс элементов.
В качестве примера воспользуемся интегрированной библиоте­
кой, содержащей всего лишь один компонент R1206-1 К-5%, кото­
рый был предварительно разработан и содержит все параметры,
необходимые для последующего формирования КД. Предполо­
жим, перед разработчиком стоит задача - добавить в ланную биб4 зак. 32
97
Глава 2. Разработна библиотен и моделей номпонентов
лиотску следующие компоненты: Rl206-l.4K-5%, Rl206-2.2K-5%,
Rl206-IK-1%, Rl206-l.4K-1%, Rl206-2.2K-1%. Хотя, для столь
небольшого ряда компонентов данная пронедура не будет очень
предпочтительна, указанным ниже способом можно обработать
гораздо больший массив компонентов, меняя при этом большее
значение параметров.
Для создания базы данных библиотек и последующим ее им­
портом в стандартную интегрированную библиотеку, выполним
последовательно следующие действия:
Перед началом выполнения пошаговых действий создадим на
диске С папку с названием Database и скопируем в нее библиотеку
ONE.lntLib.
Запустим программу Altium Designer и создадим новый файл
базы данных библиотек *.DЫib, с помощью команды
File> New> Library> Database Library
Находясь в режиме редактирования базы данных, выполним
Tools>1mpo rt Tools lntegrated Libraries. Этим действием начальная
интегрированная библиотека будет преобразована в базу данных
библиотек.
В появившемся окне (рис. 2.45) необходимо указать ссылку на
существующий файл базы данных Access, либо просто выбрать не­
которую директорию и ввести имя файла новой базы данных, как
было сделано в данном случае.
О..-е Lottlian
C:ID..Ь..e\Ьo,e.l,\D8
fn1h
Рис. 2.45. Выбор исnоАьзgемой базы данных
98
2.6. Создание библиотеки на основе базы данных
После нажатия кнопки Next, программа пред;южит указать
фаiiл для базы .nанных библиотек *.ОЫiЬ (рис. 2.46), при этом
ес,1и файл с которым ведется работа в .nанный момент, изначально
был сохранен, то его имя в данном окне будет добавлено автома­
п111ески.
На следующем шаге необходимо указать интегрированную биб­
_1иотеку, которую необхоnи:.ю преобразовать в базу данных биб­
лиотек. Для того, чтобы се добавить, нажимаем кнопку Add и на­
холим библиотеку в n.шке проекта (учитывается, что библиотека,
разработанная ранее и солержашая один резистор, находится в
папке проекта). После нажатия кнопк11 Ncxt, будет запущен про­
uесс импорта, в результате которого n бу.nет сформирована база
данных библиотек *.DЫib и н рабочей папке при этом будет
сформирован файл *.МОВ, который является файлом проrра'ммы
MicroSoft Access.
Пос:�е создания базы 1щнных библиотек. можно приступить к
созданию массива компо11ентов непосредственно через базу дан­
ных, для чего открываем для редактирования файл *.MDB, кото­
рый находится в папке проекта.
Рис. 2.46. Выбор файла базы данных библиотек
4'
99
Глава 2. Разработка библиотек и моделей компонентов
При запуске программы MicroSoft Access, откроется окно с со­
держанием базы данных, в котором необходимо выбрать вкладку
Таблицы и после этого запустить таблиuу с названием ХХХ (кото­
рая представляет собой библиотеку XXX.lntLib)
В таблице ХХХ имеется одна строка, в которой внесена вся ин­
формация о компоненте Rl206-1K-5%. Здесь наиболее значимыми
являются следующие параметры: Part NumЬer - название компо­
нента, Library Ref - ссылка на символ (УГО), Library Path - рас­
положение библиотеки, содержащей символ, Footprint Ref ссылка на посадочное место, Footprint Path - расположение биб­
лиотеки, содержащей посадочное место. В рассматриваемом слу­
чае символ и посадочное место будет одинаковым для всей линей­
ки резисторов, а параметр Part Number - будет уникальным для
каждого из них. Кроме изменения имени компонента необходимо
будет поменять значения в столбuах Value - номинал резистора,
Perc - погрешность.
Для того, чтобы создать несколько однотипных компонентов в
базе данных, выделяем строку имеющегося в ней компонента и
вставляем несколько ее, копий в последнюю строку таблицы. При
копировании строки будет вьшано сообщение, предупреждающее
о дублировании компонента в библиотеке, при этом необходимо
на данном этапе изменить параметр Part Number добавляемого
компонента на Rl206-l.4K-5%.
Аналогичным действием добавляем оставшиеся компоненты и
исправляем для них значения параметров Value и Perc на соответствующие.
...... f.8-. ............. 1Au.A&JOOOf
�;'5f.i
-,..;;;;:;;,.-�-�.....-«!)<,;;.;.--,
1
1 ,___, __,,..
.io_,. �-"" ::ir....,..1 )1 •• ••
·­-
::i ·:J.nwAt
1 �
...
..
,
l!J"8
d etu.e,..._.,,"t'..,_..,..._
•1:1
.... ,,,..._
для редактирования
100
2.6. Создание библиотеки на основе базы данных
1 4К-5'К R12!Ь IK•
ll<,l'Y,
Rl2t6-11'·
1К5'К F112!Ь1К,
2 3'-1'11 Rl:IUi-lК2 21<,S'К R1n.11<-S'r. LJнa,,цl()l;f S,
CCI.
СС\7..6-1__
·СС\П.1
ля группы резисторов
После заполнения таблиuы дЛЯ всех указанных резисторов, со­
храняем таблиuу в базе данных и закрываем программу Microsoft
Access.
Теперь необходимо обновить базу данных подключенную в Al­
tium Designer. Для этого возвращаемся в редактор базы данных
библиотек и повторно подключим базу данных *.MDB следующим.
действием: переключим опцию Source of connection со значения
Select Database Туре на Use Connection String и обратно, после
чего станет активна кнопка Reconnect. Нажимаем кнопку Recon­
nect и в результате в нижней части окна в таблице появятся добав­
ленные ранее компоненты.
После создания массива компонентов в базе данных необходи­
мо выполнить обратное действие, то есть конвертировать базу
данных библиотек в интегрированную библиотеку. Прежде чем к
этому приступить, необходимо сохранить файл *.DЫib, выполнив
Filc>Save As.
Для конвертации базы данных обратно в интегрированную
библиотеку, нужно выполнить Tools>Offiine Integrated Library Ma­
ker. При этом запускается помощник конвертирования библиотек.
После нажатия кнопки Next предлагается указать базу данных
библиотек дЛЯ конвертирования, причем в строке выбора уже ука­
зана база данных, с которой ведется работа. На следующем шаге
предлагается указать директорию для сохранения интегрирован­
ной библиотеки и выбрать таблицу для импорта. После этого за­
пускается процесс конвертирования библиотеки, в результате ко­
торого интегрированная библиотека автоматически открывается в
панели Projects.
Создание и организация библиотеки на основе базы данных
приведены в документах АРО\33 и АРО143.
101
Глава З
Разработка электрических
принципиальных схем
Начальным этапом разработки любого радиоэлектронного
устройства является описание его работы на некотором уровне аб­
стракuии, в качестве которой могуг выступать схема структурная,
схема электрическая и др. При реализации проектов печатных
плат работа начинается с формирования идеи разработчика n виде
электрической принципиальной схемы. Редакторы схем практиче­
ски всех программ данного типа схожи между собой, однако, в Al­
tium Designer имеется довольно большое количество опций и на­
строек. Основной особенностью Altium Dcsigner является проект­
ная структура разработки, а также непривычная разработчикам
схем и плат процедура компиляuии схемы и проекта.
Формирование новой электрической схемы начинается с созда­
ния нового файла проекта и листа схемы командами
File>New>Project>PCB Project и File>New>Schematic. После со-·
здания новых документов их следует сразу же сохранить, иначе
позднее нс будет доступна компиляция. Для сQхранения проекта
выполняется File>Savc Project As, а мя схемы - File>Save, напри­
мер, присвоим проекту и схеме название Ucheba. В результате в
панели Project (см. л. 1.4.2) будет отображена структура проекта,
как показано на рисунке 3.1.
1 Project /
0FieV-
Sbuc\11� Edta,
(i}Ct:]
а . UcfleЬa.P,jPcb
3
Souce Oocumenl;
Q Uche� SchDac
D
Рис. 3.1. Структура проекта
102
3.1. Настройка редактора схем
З.1. Настройка редактора схем
Настройки в Altium Designer, во всех редакторах можно разде­
на две части, глобальные - относящиеся ко всем докумен­
ить
л
м,
или
локальные - относящиеся только к текущему документу.
та
Некоторые из настроек дублируются в двух местах (как. например,
сетки и единицы измерения), и отличаются только областью при­
менения. Рассмотрим отдельно каждый вид настроек.
З. 1 . 1 . Настройки текущего листа схемы
При первом создании нового документа схемы стоит обратить
внимание, что схема открывается в дюймовой системе измерения
и с форматкой не соответствующей ГОСТ. Поэтому, прежде чем
приступать к реализации схемы, стоит настроить параметры рабо­
чей области и текущего листа схемы. Начнем с настроек текущего
документа, которые устанавливаются на вкладке Design> Document
Options. Все настройки документа описаны на рисунке 3.2, их ре­
комендуется установить в такие же значения, при этом следует
сделать нсс:_;колько замечаний.
Начать настройки следует с вкладки Units, на которой следует
указать миллиметры в качестве спиниu измерения, при этом на­
стройка бупет действовать только на открытый документ (позднее
будет описана процедура установки единиц измерения по умолчаOocument Optlons
!L\-d
�t- N.11\t.if!IISp,tr;.t,
nono..-,enwu.н
ucтpoiu
osi-,._.,.z-
lr,.,...
;t
D
::;
.ц11a,ф .. �
:1
t.do
0Vlado 1:!,,,, 1
[...,oa(io,S
0t...Ы.
G,,dllq,t
Раз.wр rн,e,ne.
c.-,.s,,.
05- [s...J
....... 1,v.o,,.
ti..1'1Ьlv,.
,�
F
г,.;;
aaнд,.pftU•
nсн,�<Мil,,818П�
с.и,
:,С-
[,r,...,
1
11
1•
Эпепрмчес••• [• -ЬC111u••
��
Рис. 3.2. Настройки текущего документа
103
Глава 3. Разработка электрических принципиальных схем
нию - глобальная настройка). Далее на вк.,1идке Shect Options сле­
дует отключить вес опuии в дополнительных настройках, т. к. они
в большинстве случаев не используются в практике отечественных
предприятий. Отдельно стоит сказать о двух параметрах, помечен­
ными знаками (*) и (**) на рисунке 3.2. Системный шрифт - испо­
льзуется для надписей, привязанных к некоторым объектам по
умолчанию (например, имя (Pin Name) и номер (Pin Des) вывода,
имя порта). Изменив этот параметр на данной вкладке, величина
указанных надписей изменится во всем документе. Электр11•1еская
сетка - это область вокруг вывода компонента, за которую <<цеп­
ляется,> цепь при соединении компонентов. Значение данного па­
раметра должно быть в 2 раза меньше чем минимальный шаг рас­
положения выводов условного графического обозначения компо­
нентов (УГО), чтобы области двух соседних выводов нс
пересекались между собой. Нажатием кнопки ОК подтверждаем
выбранные настройки. В лополн,псльных настройках имеется
возможность установки следующих опций:
• Orieнtation - ориентация листа, выбирается из двух значе­
ний, Landscape (альбомная) и Portrait (книжная);
• Title Blok - показывать стандартную рамку листа, которая
может использоваться совместно с пользовательской, что не
совсем удобно;
• Sho\\\ Rcference Zone - показывать зоны разметки, в виде
буквенно-цифровых обозначений по границам листа;
.. Show Border - показывать границы ли�та;
• Show Template Graphics - показывать стандартный штамп
(форматку);
• Border color и Sheet color - цвет границы листа и uвет листа.
3.1.2. Глобальные настройки редактора
В окне Document Options рассмотрены локальные настройки,
относящиеся к текущему листу схемы. Основная масса настроек,
которые будут относиться ко всему редактору и ко всем вновь со­
зданным документам, находятся в меню: DXP>Preferences>Sche­
matic (рис. 3.3).
Прежде чем впервые начинать работу с релактором схем, реко­
мендуется пройтись по всем вкладкам группы Schematic (рис. 3.3)·
и установить оптимальные настройки. Настроек здесь доволь­
но-таки много и при первом знакомстве создастся впечатление,
104
3. 1. Настройка редактора схе�
µ
-
SChe� - G�al
@о,.�._,.
��wwcsaa..
-
C.O,,bd
Е61:,
td,o,i
�с_,.,с.,с,..,.
•-• -"l)OC>•"
o-
�[t..ы.t,,_{,..
EJcm,.-Qcj,Oo<,cSh,,d
oeo-,c,o..1,c01>or<ero-�
0 ... о,..-­
е---.-
s,...,.
,.,,..
�QProcLc.acn
:,�
..:JW-
....,._CN..,-.i
Нactpo,ia,
ремnорас.•�..
-.у
г.-1,,_., D
��L�lMO;"S
о.,.....
,=-:--==------­
f�Lt NoL�7,wdea=:ttr
Pe.1w.pn••• •
фuр.,,.,._."""' ноеwа
дoirywн,01
·no
�'°-
��
Рис. 3.3. Настройки редактора схем. Вкладка General
что их слишком много, поэтому не рекомендуется сразу пытаться
разобраться во всех, а стоит уделить внимание лишь наиболее зна­
•1имым.
Вкладка GeneraJ задает общие настройки, те из них, которые
нужно знать на начальном этапе, показаны в подсказках на рисун­
ке 3.3, остальные опuии на рисунке установлены согласно реко­
мендациям автора.
Drag Orthogonal - флажок включает такой режим псретасктщ­
ния компонентов, при котором все связи остаются ортогональны­
°
ми, т. е. углы сохраняются кратными 90 . При выключении этоrо
Флажка связи могут располагаться под произвольным углом;
Components Cut Wires - при включении данной опции добав­
ление нового компонента в прорисованный проводник разрывает
ero электрическими соединениями компонента (рис. 3.4);
ЕnаЫе In-Place Editing - опция разрешает прямое редактиро,щ­
liие текста любых надписей напрямую со схемы. При включенной
105
Глава З. Разработка электрически:к принципиальны:к с:кем
R?
•1
Res2
lK
1 •
R?
1
Res2
lK
1
Уис. J.'1. uпц ия Lomрonents Lut wires
( слева - вwк.лючена, справа - включена)
опuии два последовательных нажатия (не быстрых) левой кнопки
мыши на надписи позволяют ее редактировать на поле схемы;
CTRL+DouЬ\e C\ick Open Sheet - при включенной опции,
· двойной клик с нажатой клавишей Ctrl на порту схемы переходит
к ответной части порта, а то же действие без Ctrl - открывает
окно свойств порта. При отключенной опции действия выполня­
ются наоборот;
Convcrt Cross-Jнnction - включение опuии разрешает кресто­
образное подключение проводников;
Рис. 3.5. Опция Convert Cross-Junction
(слева - выключена, справа - включена)
Display Cross-Overs - флаг включает режим отображения пере­
ходов, при пересечении не подключенных проводников (рис. 3.6.);
Pin Direction, Sheet Entry Direction, Port Direction - показывать
направленность выводов (см. рис. 3.7), входов листов и портов;
В группе Inclнde with Clipboard можно разрешить или запретить
копирование в буфер специальных маркеров.
Alpha Numeric Suffix - nыбор типа нумерании ячеек внутри
компонента AJpha (буквенный) или Numeric (цифровой), напри­
мер: Ul:1, Ul:2 и т. д. или UJA, UIB и т. д.
106
3.1. Настройка редактора схем
Рис. 3.6. Опция Display Cross-Overs
(слева - выключена, справа - включена)
34
33
32
г-
АО
Al
1----1 А2
l_з_4Гдо
зз.....-.,. Al
l __
1__3_2_�
-
А2
Рис. 3.7. Опция Pin Direction
(слева - выключена, справа - включена)
Pin Margin - параметры поля Рiп Margin опредещ1ют местопо­
ложение названий и номеров выводов элементов схем относитель­
но контура символа (рис. 3.8). Помимо этой опuии менять распо­
ложение информации вывода невозможно!
�Pin Number
Out.
Pin Nam__'J
Рис. 3.8. Опция Pin Margin
Default Power Object Names - это поле используется для пред­
варительного задания имен портов питания.
Document scope for filtering and selection - данное меню предла­
гает выбор: к чему будут применяться данные настройки (к откры­
тым документам или только к активному документу).
Default Ьlank sheet size - выбор формата документа.
Auto-Increment During Placement - назначение инкремента
счетчика при расстановке элементов, меток цепей и т. ·д.
Глава 3. Разработка элентричесних принципиальных схем
Defaults>Template - здесь задается файл шаблона листа, испо­
льзуемый по умолчанию при создании нового листа принuипиаль­
ной схемы.
На вкладке Graphical Editing задаются настройки редактирова­
ния объектов, отмстим наиболее важные из них:
Clipboard Refcrence - если эта опция включена, то при выпол­
нении операций копирования (Edit>Copy) или вырезания (Edit>
Cut) система будет запрашивать указание точки привязки. Это ис­
пользуется при копировании части схемы, которую надо будет
вставить обратно в схемный лист. Указатель мыши при этом будет
удерживать вставляемый блок именно за эту точку.
Add Template to Clipboard - при включении этой опции шаб­
лон листа будет копироваться в буфер обмена при выполнении
операций копирования (Сору) и вырезания (Cut).
Convert Special Strings (рис. 3.9) - вклю•1ение этой опuии по­
зволяет увидеть на экране содержимое сnеuиальных строк n том
виде, в котором они будут выведены на печать.
Ccnter of Object - при включении данной опции указатель
мыши удерживает перемещаемый объект в точке привязки (если
объект имеет такую точку) или за его 11ентр (если объект не имеет
точки привизки, например прямоугольник).
Object's Elcctrical Hot Spot - при включении 1:1:анной опции ука­
затель мыши удерживает перемещаемый объект в ближайшей го­
рячей точке (например, окончание вывода).
Auto Zoom - при включении данной опuии и переходе к како­
му-либо элементу принципиальной схемы автоматически изме11я1
'1
Иnа Лмст
Nlдrжyw.
И,м Л�t'f
,_AO�'f'A
Р13р!�
•Aul!юr
Ра1раа.
Прое.
•Che<l.t<П1y
Tmnp.
•Еф«·
Про■
т ..�
с,буюо,
•Apprcм,d!Jy
Утu.
no.:i.n�cь
tuo,np.
)'Тв
Д,Т•
AJDкtlWOI
NJlpOUlfl<
H J(Ol!Тp.
...�· ..,
Гуnа0<
..,.
Рис. J.Y. ипция Lonvert ::;pecial ::;trmgs
(сАева - выключена, справа ..:_ включена)
108
Г\ОД/111СЬ
1!!1-
�
...
а,·
д,тl
....
3.1. Настройка редактора схем
ется масштаб отображения. В противном случае масштаб остается
постоянным.
Single <•\>> Negation - при включении данной опции все иден­
тификаторы цепей (порты, метки цепей, входы листа), имеющие в
начале названия символ <•\», воспринимаются как инверсные со­
ответствующей цепи. В обратном случае необходимо устанавли­
вать знак<•\>> после каждого символа, который должен иметь знак
инверсии (см. рис. 3.10).
-
о.,_..
'--� if>.,....,.,J
i °""""н- 1�НА
v- 1•
i l!Jlld,lo
■
Е..._,1
J
!JC.,,,ccHcc:::=J i
p..,11.,.t,o
CI!
INA
E-..tw,
1,-
1 о- 1
1t
P48t_h<p-l)o-t
'
il!I-
--1-с,
тл
1
10-
1
!]с.м,,,10С=:J
�
Рис. 3.10. Опция Single •\• Negation
(слева - вык.Аючена, справа - в1С.11ючена)
Shift Click То Select - некоторый аналог фильтра вьщеления
(наподобие Selection Mask в программе P-CAD), позволяет указать
в CПI:fCKe объекты, которые не будут вьщеляться одиночным выде­
лением, и для их выбора нужно будет нажать клавишу Shift.
Always Drag - при включении данной опцией компонент будет
перемещаться со связями, а при нажатой клавише Ctrl без, при
выключенной наоборот.
Display Strings As Rotated - показывать повернутый текст в реа­
льном виде, в противном случае отображение всех текстов будет
слева направо, до вывода на печать.
Auto Pan Options - параметры автопанамирования, Style: вы­
брав Auto Pan ReCenter - в режиме редактирования компонента
при ведении указателя мыши за пределы видимой области рабоче­
го окна, будет происходить автопанамирование вокруг указателя
мыши, который в свою очередь будет размещаться в центре рабо­
чего окна. При выборе Auto Pan Fixed Jump вид окна будет «пры­
гать» за указателем мыши с шагом, указанным в строке step size.
При выборе Auto Pan Off - автопанамирование будет отключено
(данный режим соответствует настройке редактора схем програм­
мы P-CAD). Speed - скорость автопанамирования. Step size и
1no
Глава 3. Разработка электрических принципиальных схем
Shift Stcp Sizc - размер шага при ручном панамироnании (которое
осуществляется Scroll и Shift+Scroll)
U ndo/Redo Stack Sizc - задает максимальное число последова_
тельного выполнения команды отмены предыдущего дейспщя
(Undo). Group Uпdo - при включении данной опuии, будут rpy11_
пироваться действия, выполненные одной командой.
Color Options - задает uвет выделения объектов на схеме с п0_
мощью команды Se\ect.
Cнrsor - параметры отображения курсора.
Некоторые опuии на вкладке Graphical Editing не описаны, т. 1(_
по мнению автора нс находят массового применения у по,1ьзова­
телей и некоторые из этих опций пояnились только в последн11х
версиях программы и не бьши в должной мере апробированы. Оп­
тимальный вариант установки настроек данной группы показан 1-1а
рисунке 3.11.
На вкладке Mouse Wheel Configuration задастся функциональ­
ность клавиш мыши, т. е. можно поменять клавиши, уnраnляю­
шие изображением и меняющие масштаб, таким образом, адапт11• .:,s�ом
е
--Codl,p­
�"""""'
-к
QGeno,ol
1 (.;lt;,-,l&IЬ-vJ
r:.-
GILЬ-,,"'-<DZ-
L_..d Schematic - Graphical E_ditlng
-----------�-----'
00.-,oF,t.,....,
QAddtr, ....etoacit-d
[.IG,d,
c..er,.. w..
::;/Delout.,,,.
�De/,-APtmt,,,o,
·i::1-­
\ �Ol'cod(t,.)
[.IOov<eSl«ts
'CJA>GA
70•--Ca-otto1
•0l>CJE<ta
, l::JTeUE.dttw1
Sp,,od
Qc,,,.olct,,ct
в,._.. �..,...,..
O;u.,z­
Ea1"1o'V,_..,
QC,.Ы,.cld•= tn-,,,,
□"'""'---•°'-"
�м,,._ М.'l'UIII P•llfl'lc:tl,s
., ::JS.,,,,чsr,,,,.
'� [;jСАМ fdt<,
• CJPt"'1<tlм�
80ctao..,Selo<tlon
•ow.,,.
EJ......,.o,og
,�a­
51)1,
□
9,1,
St<id<S..
,._r.o________,1
00. То Stiocl
0r:,,,1o,so..,,.,.. Rototod
1 о..... _,,.,_.._.,_,,...,,
�Cusa45
CPtdn:t L«'-d�
'----------' 8S>-«f,.,, .. ....,_, ._ ....,,..,Cdor
Рис. 3.11. Настройки редактора схем. ВКАадка Graphical Editing.
110
3.1. Настройка редактора схем
ровав управление изображением в Altium Designer под знакомые
nроrраммы (AutoCAD, SolidWorks или Компас).
На вкладке Compi\er кроме установки цветов ошибок и преду­
лрсжлений при компиляции, можно установить функцию
дuto-Junction. Данная опция включает или выключает режим сое­
динения связей при наложении конц а связи на уже существую­
шую связь. Во включенном режиме система автоматически поста­
вит точку, обозначающую соединение цепей (шин). На рисунке
З. J 2 показан результат включения опции Auto-Junction, показаны
рекомендуемые настройки.
Bклaдкa-AutoFocus позволяет включить три режима:
• Dim Unconnectcd Objccts - затенение не подключенных объ­
ектов;
• Thicken Connected Objects - утолщение подключенных объ­
ект ов;
• Zoom Connected Objects - увеличение подключенных объек­
тов.
Каждый из описанных режимов может распространяться на
одно из следующих действий: On Place (размещение), On Move
(перемещение), On Edit Graphically (редактирование rрафики), On
Edit In Placc (редактирование атрибутов на поле схемы). Таким
образом, включив опцию On Move в группе Dim Unconnected Ob­
jects - во время перемещения объекта все нс остальные объекты
на схеме будут затенены, и степень затенения задается «ползун­
ком» Dim Level.
Olsl>leYOnW.os
--С2
,,
_J___
C2
J37
JЗ8
Рис. 3.12. Опция Лuto-Junction дАя цепей и для шин
111
Глава 3. Разработка электрических принципиальных схем
Вкладка Library AutoZoom задаст режим отображения компо­
нентов при открытии библиотеки и имеется возможность выбрать
из трех значений:
• Do Not Change Zoom Between Components - не менять мас­
штаб при открытии компонентов;
• Remembcr Last Zoom For Each Components - сохранять для
каждого компонента масштаб, при котором велась послед­
няя работа;
• Center Each Component In Editor - открывать компонент по
центру с указанным масштабом (Zoom Precision). Рекомен­
дуется установить именно эту настройку, причем масштаб
указывается максимальный. В этом случае компоненты будут
открываться в масштаб окна.
Вкладка Grids определяет настройки сеток, которые будут явля­
ться системными для двух систем координат (эти сетки можно бу­
дет переключать клавишей G). Для сеток можно задать два пара­
метра: VisiЫe Grid (тип отображения) - в виде линий (Line Grid)
и в виде точек (Dot Grid), и Grid Color (Цвет сетки). Кроме этих
параметров можно сформировать набор пользовательских сеток,
управление которыми выполняется через контекстное меню при
нажатии правой клавиши мыши (см. рис. 3.l·З).
Вкладка Break Wire задает настройки инструмента Break Wire
(обрезка проводника) - устанавливает размер отрезка (количество
шагов сетки), который будет вырезать инструмент Edit>Break Wire.
На вкладке Default Units имеется возможность выбрать едини­
цы измерения. Здесь следует установить флаг в строке Use Metric
Unit System, после чего работа будет вестись с метрической систе­
мой координат.
Вкладка Defau\t Primitives позволяет установить значения по
умолчанию для всех графических и электрических примитивов.
Рассмотрим порядок установки начальных параметров примити­
вов на конкретном примере. При рисовании схемы, цепи, заве­
денный в шины, должны обязательно иметь так называемый вы­
ход из шины (Bus Entry), который по умолчанию привязан к сетке
с шагом 2 мм. В работе большинство пользователей используют
сетку краmую 2,5 мм, т. е. стандартный выход из шины не будет
попадать в узлы пользовательской сетки. Зададим значения опи­
санного примит}{ва по шагам (рис. 3.14):
112
....
3.1. Настройка редактора схем
шаг -. выби рас\t елишruы изм ерения �1ил,1и-.1етры (для одно­
го и того же примиrиnа �югут быт�, устано мены рюные настройки
мя разных с истем координат);
2 шаг - в сшн:ке примитивов выбираем параметр Bus Entry
двойным нажатие�, левой клав иш и мыши;
3 шаг - з адаем 11ара�1етры примитив а, как показано на рисунке
3.14 и нажимаем КJ-iопку ОК;
4 шаг (нсобязurель ный) - вклю•н1см опuию Permancnt, если
об
не ходимо всегда сохранять начальные настройки как <<ПО умол­
чанию,>. Опция исr�о..'iьзуется в тех случаях, когда при размещении
объекта была нажаl'а клавиш а ТаЬ и наст ройки были отредактиро­
ваны. При выключенной опции Permancnt, noc,ie следуюшеrо за­
пуска данного инс,румента настройки булут соответствовать по­
с,1еднсй сессии, т. е. они будут перезаписаны на вкладке Default
Primitivcs. Пр и вклtочени и Permancnt, настройки нс будут переза­
писываться во вре11,1я каждой конкретной сессии, а бу дут кажд ый
раз во звращаться к исходным nри каж..:�ом запуске инструмента.
После устаноnк11 всех парамет ров обратите внимани е на список
настроек: у назван11я настройки появился знак «*,>, а сама она ста-
--�
.>:::,s,,,,.,.
,;;e,�':.i
-..+,.icc,191.,,,,,
CiJCc,roi,,,
ps
L...tSchematic -Grids
GodO,.,,..
Gtidl.
, (Aere«....,.e
• GilOtldU-Ь
QIOt/dPr,_,es
GIQ,цd(t,,\)
Gilo.w.si-s
, '
1!J 1CIW
-:af!>GA
IO:;J\'e-un,d
�c.;i��
..; CIPCII tdla
,:1:1т,,н...,.
..,,:»Soctnos,,,t..,
:,<:Ан .....
<ВQPtroc<n...._
til::J-
;;:;:,iw...
[s-100"u,
·!��1
IDnl
■·�
1
r
15"
,,.,.
so,I
--
ll,olaieб>-d
�" l�
\(l(lri
[5t:J��
,,...,.,r,.,. ·]
Рис. 3.13. Н,Qсmройки р едактора схем. ВкАадка Grids
113
-i��-=-
Глава 3. Разработка электрических принципиальных схем
-
p,.i.,.,....
с,,{.,,,,,,,,,
G/G<,o/w:IIIEdlnj
й__
Glc.n-c-
? :)(
U Schematic - Default Prlmitives
1
....
-.
�
EJ
CillЬ_,,blol_
-GGtlm
�._w,..
.
"'-
o.r..prNNtOf/d .,.,_tr;�•
-rd<l<\0'4\1,o't,ltV......
iu,.....
g::::::..-..��-1
-Olo«.od(tm)
Ci10e,,,teSl-­
,J:JA'<iA
.: С1 V.SIOf'I cort,at
"'�S)'Sttorn
•-QPCВEdto
��­
•'
Te-.t [dtors:
['lcitx-ll1t,t,
• QP""�"""'"­
G,<Фс
\-'\Af,11t�O,
Нtlft.UCCJ'Y'IIC'\or 1
-.r,,,,
I�
.:JQ\ili,-..
lr•
·,:ч:,.,,.....,.s-,,u,,n
JJ(:JC.AМE-
-.......
::
i·
м-.
""L"""
... [R(
с,н.,_ С,,,.,с,
:
�
:
еоь'-
�
X2.2.5,m,
n2-
<.: :::.-�� . ...�
V--L.,... dh Sll'lal
Lodcd 0
�
�---=-"...,1
(Edt�-.... .. 1�
\
! s., тоое1....,
1
·-1
��
.......
Рис. 3.14. Настройки редактора схем. Вкладка Default Primitives
ла выделена жирным текстом - все это говорит о том, что на­
стройки были изменены, но еще не применены. Для того, чтобы
применить новые настройки, необходимо нажа:rь кнопку Apply,
после выходим из выпадающего окна Preferences нажатием кнопки
ОК. Настройки, которые не были описаны выше, не имеют опре­
деляющего значения на начальной стадии работы с программой.
3.2. Создание и подключение форматки
В программе Altium Designer имеется несколько стандартных
форматок, но они не соответствуют требованиям ЕСКД, поэтому
прежде чем приступать к работе с редактором схем, необходимо
со здать базовый набор форматок, в соответствии с требованиями
гост.
114
3.2. Создание и подключение форматки
Создание форматки можно разделить на четыре самостоятельных этапа:
1. Формирование графи•1еской части штампа;
2. Размещение постоянных текстовых надписей;
3. Создание параметроn, обладающих свойствами автозаполне­
ния;
4. Размещение параметров (переменных текстовых надписей).
Рис. 3.15.
- - ---
-
J11КТ
Paapaf.
11poL
T.Wotn)>. •
Н.,онт,.
Yn
NAOrp,4..
,
Пtдnмсl.
__. .
--
-
rr7"'"1'.J
---
--- ·- ·-
-
-- --- -
-
{Обозначение}
д,,,
--- -- - .
-
�\
:r.-,.,n\
- ·-
{l [aJ!)ICHOII.UD!t'}
..
...
-
--
""'·
1·1
Л.<Т
i.\1cc1
..
.--- -
м.аt:&11111
1 Л.Мсто,
{Н...Н,,1
:>•• .,;..":
Рис. 3.15. Пример форматки
Первые два шага не имеют каких-либо особенностей, все ню­
ансы рисования линий и размещения текста описаны в главе 1.5.2.
Постоянные текстовые надписи, это те поля, содержимое которых
не будет -меняться DJIЯ различных документов· (Разраб., Пров.,
Подпись и т. д.).
После того, как стандартная рамка нарисована и размещены
стандартные надписи, приступим к созданию и размещению пара­
метров. Чтобы сформировать данные текстовые поля, выполним:
Design>Documcnt Options, и nерейлсм на вкладку Parameters - в
списке перечислены все существующие поля. Некоторые разра­
ботчики используют только стандартные текстовые поля (парамет­
ры), в этом случае внутри предприятия составляется внутренний
руководящий документ, где регламентируется назначение пара­
метров. В нашем случае создадим пользовательские параметры,
LL1я чего нажмем кнопку Add и в выпадающем окне в поле Name
напишем Razrabotal (в поле Name воеприни:-.�аются только англ.
текст и uифры, запрещено использовать точку!) и в поле Value {Разработал}. Аналогично добавляем в список все стандартные
надписи, которые мы будем использовать о нашей форматке
(рис. 3.15). Введя вес необходимые данные, выходим из окна Do115
Глава 3. Разработка электрических принципиальных схем
cument Options, выбираем инст­
румент Place Text и нажимаем
Fon1
.P,ovm
клавишу ТаЬ. В графе Text в
-ткоrо
выпадаюшем меню (рис. 3.16)
�Kontt
-Utvefdil
находим атрибут <• =Razrabotal,>
-O�or
выбираем ero ЛК, нажимаем ----1.v"wЖ•Verroneomo1 А...+j"1Ье<
ОК и устанавливаем в соответ­
Рис. 3.16. Вwбор параметра
ствуюшую ячейку. Аналогично
для размещения
устанавливаем остальные атри­
буты (рис. З.15). Для атрибутов
Название и Обозначение выбираем шрифт 20.
Последний этап создания форматки - сохранение. Выбираем
File>Save As в выпадающем окне пишем имя АЗ и выбираем тип
файла Advanced Schematic Template (*.SchDot).
На этом работа по созданию форматки ·завершена и документ
AЗ.SchDot можно закрыть, для чего нажимаем ПК на название до­
кумента в панели File и в выпадающем окне выбираем Close.
Для работы с форматками в редакторе схем используется груп­
па команд из подменю Dcsign>Template, в которой содержатся
следуюшис команды:
• Update - обновить текущую форматку;
• Set Template File Name - подключить новый файл форматки;
• Remove Current Template - удалить существующую форматку.
Чтобы подключить созданную форматку к открытому докумен­
ту, следует выполнить: Design>Template>Set Template File Name и
в открывшемся окне выбрать созданную нами форматку, с име­
нем АЗ.
13 выпадающем окне Update Tcmplatc следует включить опции,
как показано на рис. 3.17. Здесь программа задаст пользователю
два вопроса:
1. К чему применить выбранную форматку
а) Just This Document - только к текущему документу;
Ь) All schematic documents in the current project - ко всем схе­
мам текущего проекта;
с) AII орел sc}1ematic documents - ко всем открытым схемам.
2. Какие действия применить к параметрам
d) Do not updatc any parameters - не обновлять параметры;
116
3.З. Поиск компонентов, подключение библиоте к
Update Temp14te
o-eDCCU'l':'"IS'-- -- -0��
(1)RI
- 1
1 Qдl�cloc-•inlhoaner,1�
01!:Jc,pontchemoЬ<doc,rnerla
°"""8P""1'derAdo,o
OJ:!ono1..,.,.._,onv.,.,_.,,
l
ов�•�.,.,..,.....,
_J
Рис. 3.17. Подключение форматки
е) Add new parameters that exist in the template only - добавить
новые параметры, не меняя значения и положения сущест­
вующих;
f) Replace all matching parameters - обновить все параметры.
Чтобы· установить данный шаблон по умолчанию дЛЯ всех
вновь созданных документов, выполняем: ОХР>Prcferences>Sche­
matic>General, в графе Default Template нажимаем ЛК на кнопку
Browse и выбираем файл АЗ.
После подключения форматки следует выполнить Design> Do­
cument Options и на вклацке Parameters дЛЯ всех атрибутов напи­
сать их истинные значения (в поле Value вместо {Разработал} сле­
дует написать свою фамилию, и т. д.)
3.3. Поиск компонентов, подключение
библиотек
В качестве примера будем использовать схему, показанную на
рисунке 3. 18.
Для разработки схемы используется специальная панель Libra­
ries, посредством которой выполняется работа с библиотеками. За­
пуск этой панели производится через меню вызова панелей Sys­
tem> Libraries (правый нижний утол рабочею окна).
В запущенном виде панель Libraries имеет вид, показанный на
рисунке 3.19, на котором также описано назначение окон данной
nанели.
117
Глава 3. Разработка электрических принципиальных схем
Af
AJ
2'
,.,
..
DD2:2
DDl:1
DDl:J
l Е
1
о,, '
RI
DDJ:3
"
'��
.,,
\_м_..-
LI
1
2
3
XPI
+$V
А5
оuт
11'1'
SТROB
Рис. 3.18. Пример схемы
Сnм.с:ок
б,,б,,,,01ео
1'1осо 13Эlд1
Стро«а nонс-10
Koмna.+eНtW
■ыбра>Ж<>iо
бttбnмо1е••
УГО
u
Просмотр
•ыбранноА
litOдenм
Рис. 3.19. ПанеАь Libraries
118
6
ЕХ1Т
7
QND
3.3. Поиск номпонентов, подключение библиотек
®'Е]
Avall�Ыe Llbrarfes
� lmtaled IS•,rth Pott,I
lni!oled Lb,re,
i>. de'1lo lnlU,
/дt:t,valod
lЬOl)'PalhAet,t,;e Та
s
il
1 1,\щ!.!J> 11�r--)
Plllh
С \Uctiel><,\domo lnli>
1
Jn:tol
:•�
1n1..,a1ed
·-
111
11 в-_J
1 � 1
Рис. 3.20. ПодКJ1ючение библиотек
Перед началом работы необходимо подключить библиотеки, в
которых находятся компоненты для создаваемой схемы. Чтобы
подключить библиотеки, нажимаем кнопку Libmrics в олноимен­
ной панели, после чего на экране появится окно, показанное на
рисунке 3.20.
В данном окне имеются 3 вкладки, которые имеют с,1едующсе
назначение: Project - библиотеки проекта, lnstalled - установлен­
ные библиотеки, SearchPath - путь лля поиска по биб;нютекам.
Предполагается, что библиотеки изначально были созданы в виде
интегрированных библиотек (см. главу 2.5), тогда дJНI использова­
ния эти библиотеки нужно добавить в список на вкл;:щке (ш:talled.
Для подключения и удаления биб.1иотек 11спол1>З)'Ются кнопки fn­
stall и Remove на вкладке lnstalled окна д.,,iаlаЫе Libraries. Посл{'
подключения библиотеки наж11маем кнопку Clos�. при лом r1а­
нель Librdries должна полностью соотвстств01шть rюказанноii •ш
рисунке 3. 19.
Приступая к размещению компонентов на листе схе�,ы не вс,:­
rда легко найти нужный компонснr в указанных биб,1иотек.1х, '<0торый могут сод�ржать ,10 нескольких тыс>�Ч ко.,тонснтов. Д."Я
поиска необходимого компонента 11 биб:tиотскt: можно вос110:�ь·ю­
ваться двумя методами. Но-первых, ,южно 1юспо,1ьзопат1:ся стро­
кой поиска (рис. 3. t 9), 11 которой можно написать назr.ание (или
часть) необходимого компонента, исполиуя сп�ю11аки (* - наGо�
неопределенных символов, ? - один нсопрс,1с.1снный с1'\мвол).
Например, начнем с уста1ювки на cxel\fe :,е1истора RI
R0805-4.7K-5%, для чего в строке поиска ввецем текст <•*4.7•>, по­
сле чего из всей библиотеки будут показаны компоненты, содер­
жащие в своем названии данные символы. В панели Libraries будет
119
Глава 3. Разработка электрических принципиальных схем
показан только один, необходимый нам ко�шонент. Во-вторых,
зайти в диалог поиска по кнопке _Search панели Libraries, что по­
зволяет искать компоненты по всем доступным библиотекам неза­
висимо от того, подключены они или нет. Для поиска компонента
нажимаем кнопку Search в панели libraries, в открывшемся nыпа­
даюшем окне нужно установить слелуюшие параметры:
В выпалающем списке <,Searcl1 in» нужно выбрать критерий по­
иска (Что искать?). Либо искать компонент (Components), либо
топологическое посалочное место (Prote\ Footprints), либо 30 мо­
лель. В данном случае указываем Componc11ts. В окне Scope вы­
брать область поиска, AvailaЬle librarics - искать, только в под­
ключенных библиотеках, libraries on path - искать в указанной
папке, при этом надо указат�, адрес папки (Path), включить или
выключить поиск во вложенных папках (lncludc Subdirectories) и,
если требуется, установить маску на тип файла (File Mask). Выби­
раем поиск в указанном ;\lесте, выбираем станл.артную папку биб­
лиотек (C:\Program Files\Altium Designcr 6\Library) и выклю,rаем
поиск во вложенных папках. Критерии поиска можно задать в
форме, как показано на рисунке 3.21, а можно ввести продвину­
тый текстовый заr1рос, для чего нужно нажать кнопку >>Advanced.
Далее нужно указать более конкретный критерий поиска, для
чего нажимаем кнопку Helper, после чего появляется окно Query
11)®
tlbranes Scan:1'
r•,.
,,._. fJ ,...
f�j
1
[11-
2.
jv...
Oi,e,dor
Vм,е
�": 1""""
....!][1.J;
(...
l
�р
,_... "1
Простой запрос
':J
пШ
�
ES!!iN[A \\lltПEF\ ОЗ\1.Ье,у\
__....Н
fle"441A. ,__/··_____
.
1 , �...chj �
f
•
Рис. 3.21. Поиск компонентов
120
�
3.4. Инструменты создания схемы
\'""""' • •reo") AIID н,..r,.,....,,ort•VeJue•, '1.6')
� <
GJ . •
u,t,g,,im
!'1 •
tcm,,cne,,,,
А1
Fощ,,,,
<•
'>-
>
(o]GJ�
�e::st:-
Рис. 3.22. Сложное задание на поиск
Helper. В данном окне нужно назначить атрибут, по которому бу­
дет производиться поиск и его полное или частичное значение.
Значение атрибута можно написать •�астично (тогда вместо пропу­
щенных символов ставится знак *, а вместо о:щого пропушенного
символа - ?). Проверить корректность написанного критерия по­
иска можно нажав кнопку Check Sintax, о правильности говорит
сообщение, показанное на рис. 3.22.
После указанных де_йствий запускаем поиск, нажатием кнопки
Search в окне поиска. Кнопки Favorites и History используются для
задания критерия поиска из списка наиболее часто используемых
(список вносится вручную) и последних. По результатам поиска
Altium Designer создает временную библиотеку Query Rcsн\ts, в ко­
торой в данном случае содержится один, нужный нам компо­
нент - устанавливаем его на схему. Критерии для сложных запро­
сов не описываются в данной главе и, вообще, нс входят в рамки
данного издания. Вопросы, относящиеся к языку запросов (Query
Language), к которому как раз относится описанный случай, будут
ттодробно описаны во втором издании данной книги.
3.4. Инструменты создания схемы
В каждом редакторе Altium Designer имеется свой набор пане­
лей и инструментов для работы. Основной панелью, с которой ве121
Глава З. Разработка электрических принципиальнь,х схем
Wirlng
Х
��}- �lli:!1��:[)­
- tJ Со�} � � Х
Рис. 3.23. Инструменты редактора схем
дется работа в ред.�кторс схем, явш1стся панель Librarics, которая
была описана в лрсдыдушей главе. Инструменты, относящиеся к
схемной 'lасти проrрнммы crpynn11pona11ы в панели инструментов
Wiring (рис. 3.23). Инстру�1сtпы создания схемы описаны в таблице 3.1.
Процедура формирования схемы довольно-таки проста, и в об­
щем случае представляет собой последонатсльное размещение на
листе схемы компонентов, линий связей, шин, меток цепей и дру­
шх объектов, перечисленных в таблиuе 3.1. Рассмотрим подход к
размешению объектов схемы. Из списка компонентов в панели
Libraries выбираем с помощью мыши нужный компонент (напри­
мер, резистор) и ннжимаем на кнопку Place. Указатель мыши при­
нимает вид крестика, к которому "прилип" контур символа рези­
стора, что означает, чтv редактор переключился в режим размеще­
ния. Прежле чем щелкнуть левой кнопкой мыши в поле схемы и
поставить резистор в ну-жнос место, нужно отредактировать ero
параметры, для чего следует нажать клавишу ТаЬ. На экране поя­
вится окно Componcnt Properties (рис. 3.24), в котором вся инфор­
маuия о компоненте разбита на группы:
1. Properties - основные свойства компонента (Designator - по­
зиuионное обозначение, Comment - название компонента);
2. Library Link - ссылка на библиотечный элемент;
З. Grapllical - информация о графическом 01ображении (Sho\v
All Pins ... - показать все в-ьпюды компонента, Mode - вы­
бор режима отображения (см. г,1аву 2.2.3.3);
4. Parameters - атрибуты ко�шонента (отсюда информация бу­
дет использоваться для формирования текстовой части кон­
структорской документации (см. главу 2.2.3.2);
5. Models - модели компоненrа (110садQчнос место и т. д. (см.
rлаву 2.5.2);
6. Edit Pins - таблиuа выводов компонента.
(')')
3.4. Инструменты создания схемы
Таблица 3.1
Пиктограммы команд редактора схем
��-=г�---·
� Пикто- j Описание
t, rрамма , команды
Настройки (Т1Ь)
� �1
Р1асе Wire · • Wire Width - толщина линии цепи;
i � (Размещение . • CGlor•-цвет объекта;
пи)_ -�Locked• -зафиксировать объект;
1' _-�
!
1
,
1
1
�,
l[В
i
1
1•
Bus Width - толщина линии шины;
! шины)
�==ние
,
l
Р1асе Signal
1 Harness
(Размещение
!жгута)
1 (Размещение
выхода из
. шины)
1
� I Р1асе Net LaЬel
i ( Размещение
метки цепи)
! [+/
i
j
�J
!�
!�
1
1
1
1
1
• Width - толщина жrута;
1 Place Bus &!�
!СЫ I
)
1
• Une Width - толщина линии выхода из шины;
• Location - положение начала и окончания выхода их шины;
____
• Location* -положение объекта;
• Orientation - угол поворота;
• Net - название цеnи;
• Font -шрифт надписи;
1 • Style-формат порта (ниже перечислены
возможные варманты)
GND (VCC) Power Port
(Размещение порта питания или ! • Orientation
• Net
зet.\J'IИ)
• Sho.YNetName
Circle
(I]
Р1асе Part
( Размещение
компон ента)
AлfYN
IВar
Wave
["{]iШ [Е
Power Ground
Signal Ground
�
Earth
[2] [т]
Данную команду неудобно использовать из панели инструментов,
обычно компоненты размещаются на схеме через панель Ubraries.
(настройки компонента показаны на риt)'Нке 24)
• Х, Y-Size - размеры символа листа:
• Вorder, Fill Color - цвет границы и заливки;
Р1асе Sheet
• Draw Solid -включение заливки;
SymЬol
(Размещение • Вorder Width - толщина линии конrура;
символа листа) • Designator - обозначение листа;
• Rlename -ссылка на файл схемы;
1
1
123
Глава 3. Разработка электрических принципиальных схем
Таблица 3.1 (продолжение)
Пикrо-
rрамма
1
00
Описание
Р1асе Sheet
Ently
(Размещение
входа на
, символ листа)
Р1асе Device
Sheet�Ьol
� (Размещение
символа
подсхемы)
,·
Ш]
'[ill
10
L3
Настройки (ТаЬ)
команды
'
• Вorder, Fill, Text Color- цвет rраницы, заливки и текста;
• Text Font-шрифт текста;
• Side, Style, Кind-параметры объекта;
• Name - название;
• Harness Туре-тип жryra (при необходимости);
• 1/0 Туре- тип вывода (необозначенный, вход. выход.
двунаправленный)
• Position-положение на листе;
1
данный объект не имеет настроек, необходимо выбрать подсхему
из списка, который представляет П3ПkУ с готовыми нарабоnсами
подсхем
• Х, Y-Size-размеры символа листа;
Ptace Harness • Primary Position - по1Южение первоrо вывода JКJ"ffa;
• Вorder, FiU Color-цвет границы и заливки;
Connector
(Размещение • Вorder Width - толщина линии контура;
разъема жryra) • Harness Туре-тип жrута;
• Hide Harness Туре-скрыть тип жrута;
.
Р1асе Hamess
EntJy
• Text Color, Font, Style-параметры текста;
(Размещение • Name -название вывода жryra;
выхода
разъема жryra)
• Wкlth -толщина порта;
• Fill, Вorder, Text Color-цвет границы, заливки и текста;
Ptace Port
•
Alignment выравнивание текста;
(Размещение
порта)
• Name-название порта;
• 1/0 Туре-налравленностъ порта;
.
Р1асе No ERC
(Р азмещение • Color-цвет Mem1
1 мепи No ЕАС)
•-данные опции одинаковы для всех последующих объектов.
В свойствах компонента (рис .. 3.24) можно включать или вы­
ключать ви дим ость дополнительной информации - опuия VisiЫe
в соответствующей строке, а так же жестко зафиксировать част»
124
3.4. Инструменты создания схемы
компонента - опция Lock. После установки необходю1ых настро­
ек, нажимаем кнопку ОК и переходим к размещению компонента.
При размещении компонентов стоит обращать вни.манис на сетку,
к оторая должна быть кратна 2,5 мм, lUIЯ переключен и я сетки ис­
пользуется горячая клавиша G, которая позволяет переключать
сетки из ранее созданного списка. При необходимости установки
иной сетки выполняется команда Vicw>Grid>Set Snap Grid
(V>G>S, здесь и далее последовательность ·букв после наименова­
ния команды описывает порядок нажатия соответствующих кла­
виш lUIЯ запуска команды).
· При размещении компонентов используются клавиши: Space
(пробел) - поворот на 90 градусов, Х,У - зеркальное отображе­
ние относительно осей У и Х. Данные команды отличаются от
привычных настроек P-CAD, что доставляет определенные неу­
добства пользователям имеющим огромный опыт работы с данной
программой. Для пользователей P-CAD есть настройка, возвраща­
ющая комбинации горячих клавиш к привычным пикадовским:
View> Кеу Mappings> P-CAD.
N;;:_,
-
...................
Р-•
L�,_•
,,.,,
N,1,1.,
Pa.,..,1111loFi"°•�
-�·
, v-
l7..U10C12
R.�1oown.r....
"
A&A.At.•tid
S.1f\tНG
S1f1НG
SIFНG
Рис. 3.24. Настройки свойств компонента
125
Глава З. Разработка электрических принципиальных схем
После расположения всех компонентов приступаем к прорисов­
ке связей. Убедимся, что вся схема отображается в окне редактора
схем, для чего выполним команду меню View>Fit All Object (Y>F).
Сначала соединим нижний вывод резистора R I с эмиттером тран­
зистора VП. Выполним команду меню Place>Wire. Указатель
мыши примет вил крестика. Подведем указатель мыши к нижне�,у
выводу резистора R 1. Появится красная звездочка, сигнализирую­
щая о наличии электрического объекта. Выполним шелчок левой
кнопкой мыши или нажмем клавишу Enter, чем зададим начало
линии. В программе Altiнm Dcsigner есть 4 режима рисования сое­
динений, 90, 45, произвольный угол и режим Auto Wire (соединяет
две выбранные точки по оптимальному маршруту). Переключение
между режимами осуществляется нажатием комбинаuии клавиш
Shift+Space. У режимов 90 и 45 имеются по 2 подрежима, переклю­
чение между ними осуществляется нажатием Space.
В 11елом эти и остальные элементы рисования схемы не имеют
приннипиальных отличий от аналогичных редакторов программ
такого класса, поэтому далее будут перечислены используемые
команды с небольшим комментарием, без подробного описания
действий. Place>Bнs Entry - выход из.шины, этот объект отсутст­
вовал в P-CAD, программа добавляла вывод от шины автоматиче­
ски. Place>Bнs - шина. в отличие от P-CAD не просто представля­
ет собой графическое изображение, а имеет логическую сущность.
Все uепи объединенные шиной на плате будут объединены в класс
uепей, поэтому шина обязательно должна иметь имя, которое за­
дается согласно синтаксису: Af0..2] - где, А - метка имени,
[0.. 2] - разрядность шины (максl1мальная uифра в разрядности
шины задаст ограничение на количество входящих в нее испей).
Place>Net Label - метка цепи, этой командой задаются наимено­
вания цепей и шин. Placc> Power Port - установка порта питания
или земли. Прн установке всех объектов, описанных в этом абзаце,
следует нажимать клавишу ТаЬ после вызова команды и задавать
необходимые атрибуты перед -установкой объектов на лист схемы.
3.5. Горячие клавиши, используемые
в редакторе схем
Для более удобной и быстрой работы в программе Altium Desig­
ner рекомендуется запускать •rасто выполняемые команды не через
126
3.5. Горячие клавиши, используемые в редакторе схем
главное меню программ, а использовать для этого комбинации го­
рячих клавиш. В таблице 3.2 перечислены наиболее удобные, по
�1нснию автора, комбинации клавиш и команды, к которым реко­
мендуется привыкать с первого дня работы с программой. Ранее
было сказано, что настройки горячих клавиш можно синхронизи­
ровать с настройками P-CAD (Vicw>Key Mappings>P-CAD), но
автор не рекомендует этим пользоваться из личного опыта.
Таблица 3.2
Горячие клавиши и команды, часто используемwе в редакторе
схем
..
1
t Комбинация
'i,
;
1!
'
j
G
V>G>S
V>F
·�-
'!
\
ТаЬ
F11
Запуск через меню
V,ew>Grid>Cicle Snap Grid
View>Grid>Set Snap Grid
View >Fit AII OЬjects
----·
pace п
л
ро
!S
( бе )
--·
i Shift+Space
---
,,
ХиУ
-
BackSpace
-----
Описание команды
Последовательное переключение дОС1)'nных
сеток
'
Включение п извольной сетки
ро
Показать все объекты схемы
1
Открывает окно свойств во время размещения
объекта
Запуск панели lnspector
• Поворот на 90 градусов при размещении
компонента
• Переключение ортогональности при
размещении цепей, шин и линий
Переключение nодрежимов при размещении
цепей
Зеркальное отображение относитеnьно осей ОУ
и ОХв режиме размещения компонентов
Удаление последнего cerмelfТa в режиме
размещения цепей, шин и линий
Во врем.!J работы с программой можно самому определить ком­
бинации горячих клавиш, разрешенные для использования. Для
этого существует два способа: во-первых, во время выполнения
команды можно нажать клавишу <•~•> (тильда), после чего на экра­
не появится всплывающее окно со всеми доступными клавишами,
во-вторых, можно во время работы открьпь панель Shortcut.
127
Глава 3. Разработка электрических принципиальных схем
3.6. Синхронизация схемы с библиотеками
компонентов
Во время реализаuии проекта нс редко возникает ситуания,
когда nносятся изменения n биб{lиотечные элементы, после чего
nозникает необходимость синхронизировать элементы на схеме с
библиотеками. Данная задача может быть выполнена двумя спосо­
бами, или непосредственно 11з библиотеки; или со схемы. Из биб­
лиотеки удобно выполнять обноnления в то:-.1 случае, когда для ре­
ал11зацю1 схемы была использована только одна библиотека (что
бывает крайне редко) или когда требуется обноnить один-два эле­
мента. Для обновления элемен­
тов из б11блиотеки в схеме, не­ 50ttь_________ • х
обходимо находясь в редакторе
библиотек нажать правой кноп­
C001pOnent•
\Di=iption
кой в списке компонентов па­
t.}OIODE
iJ ELECТRO .. Eledr�,: uip.!lc� RB ..
нели SCH Librarics и выбрать
t} P22V10
24-PIN ТТL VEASAТILE ..
ко:-.�анлу Update Schematic She­
UЦIJi
ets (рис. 3.25).
t} AESPACK.1 Select а11
Updote � 5heeU
•
U SN7432
Результатом
nыполнею1и
ij SW DIP-4 1 Моdе1 Мanaoet
этой ко,1анды будет появление
tJ SW OIPB
Сору
окна. показанного на рисунке
�tTL16C554
ец
3.26, в котором 1·011орится о
д р�
f Р1асе j
А
ТОМ,
СКОЛЬКО
KO!\IПOJleHTOB
П
скольких документах были обноnлены.
У этого метода сеть опреде­
ленные нелостатки. Во-первых,
нет nозможноспt настроить па­
раметры обновления, т. е. поло­
жение и свойства атрибутов.
Во-вторых, обновление проис­
ходит во всех открытых схемах,
что ,южет быть не всегда удобно.
Дпя синхронизаuин ко:-.�по­
нентов схем, в которых испо,1ь­
зуются элементы из разных
б11бл11отек, нужно, находясь в
редакторе схем выполнить
ко,шнду Tools>Update From
128
�
Pm
------
Delete
as:rve
Dele!e
Мf.
Рис. 3.25. Обновление элементов
из библиотеки
lnformotion
:-
(gJ
ц-,dated 2 a.щ,orlffits
ln 1 SchemaЬc Docunents
ок
Рис. 3.26.
Результат обновления
3.6. Синхронизация схемы с библиотеками компонентов
Libraries, после чего на экране появится одноименное окно (см.
рис. 3.27). Здесь имеется возможность выполнить следующие на­
стройки:
1. Schematic Sheets - выбрать листы, которые необходимо син­
хронизировать;
2. Component Types - выбрать компоненты, которые необходи­
мо обновить;
3. Setting - дополнительные настройки.
В дополнительных настройках, по умолчанию, обновляется вся
информация о компонентах, включая заблокированные позицион­
ные обозначения (Update designator lock field) и заблокированные
уникальные идентификаторы компонентов (Update part JD lock fi­
eld). Если отключить опцию Fully replaces..., то будут доступны бо­
лее расширенные настройки. Так можно отключить обновление
rрафики компонента (Update graphical attributes), обновление па­
раметров (Update Parзmeters) и обновление моделей (Update Mo­
dcls). После выбора настроек можно сразу нажать кнопку Finish, а
при необходимости можно перейти к следующему шагу (Next) и
более точно указать, какие действия применить к какому компо­
ненту. После нажатия кнопки Finish появляется окно Engineering
Change Ordcr (ЕСО), в котором надо последовательно нажать
кнопки Validate Changes> Execute Changes>Close.
GООМ the кh,madc: docwм"ts an4 c.ompo,wnt typn to .,,d..
ь.., ..... nlhtLb•Vl-'ofh,,.,,"'8�ь.toncr--coreilJ�
•ere-sctwd0ctrn.Jt-toQW"1Nh1.C1
Sdw_m_at_kSЬ.�
=-:!�=:,,с:'---'--"'--1
:"' �
,-;-:
� tSA(!u.,nt
�om,a"ttnl��
-----,,"�i;;;:;
c::_-t..
. ,Ar"f:":�
18_1
pj
'":;
Е, \Ц19_1
� САР2"
"Ct'r.t
!aF.-,_.,,.... ... .._ .... _,_..,..,
eJu,,,!,,_o.,..Nld
ia-. ... 11 .......
"l.,'t,Jd,g�c.:Jfl!'t!ЬМ
•
F'J CIМIAI.
P.J 0837
l!i
OOI)[
СОНдJ&...'19
С!Мlдl.
,.37
•
f,'J ШСТМ R8
f'1 EUCtFWI
mv>O
R(Ь,
F'1 "tSf'»D-a:ow<QN
RLSFIO.ICllмl<OН
OfЧ
� SW
&#/08Р4
� T1..1oc,s.
;,у
1
12
.....
J,r
....
EI.EC1RO 1\11
ELECfAOI
"" R[S,
'? �Olf)"'
---=--....
["'1)(
P.J PW>O
р 911<1.U
ii;
Щ
4Гo,,SN�ocioSdi.Ь
� g �
�l to,NAt631
,,_,•
�--�
5"14.Jl
S'W(lfP-8
1!..16'$t
...
.,.,
.,.,...
3
Ри.с. 3.27. Си.нхрони.заци.я схемы с бибАи.отеками.
5 зак. 32
129
Глава 3. Разработка электрических принципиальных схем
З. 7. Автоматическая переномерация
компонентов схемы
Последним этапом перед ком­
пиляцией проекта является авто­
матическая нумерация компоне1-1тов схемы, которая в самом про­
l.,p/!)own �e,archy
<.."ТОМ случае выполняется командой
P11temeter Мм<1gе, ...
Tools>Annotatc Schematic. Вообше,
FOOфmt� ...
зада'JИ перенумсраuии решает це­
�е From 1.ь.,,оеs...
лая группа команд, располагающа­
Update Poremeters nom � ...
яся в меню Tools (см. рис. 3.28).
tw� Defnuon ProЬlern Fn:Jet..
Нет смысла описывать каждую
в,n,tate�...
из этих команд по двум причинам,
Rtset Schemetк Oes<gnators ...
во-первых, многие из них интуи­
Reset �llte Schematic �ors...
тивно ПОНЯТНЫ, во-вторых, мно­
Annot&e S<he/rlotics Q,.,-ty...
гие доступны через команду Aлno­
Force At.J',ot..te А1 Schematкs•••
tate Schematic, которая является
�lt.Amotllte SchelмЬcs.••
здесь основной. При вьшолнении
№nЬet 5<hem,,t!c �s...
команды Tools>Annotate Schema­
tic, на экране поямяется окно,
Bo«d tevel Arrotate ...
Ctrl+L
показанное на рисунке 3.29.
�& COf.,!ped Sheet.s .. ,
На рисунке 3.29 показан поря­ j �lntegity_...
док работы с окном Annotate. В ле­
Рис. 3.28. Коман.ды для
вом верхнем углу появившегося
перенумераи,ии компонентов
окна задается напрамсние нумерации (в нашем случае, Down then
Across - соответствует нумерации, которую требует ГОСТ). На
втором шаге следует дать программе указания по нумерации ячеек
составных микросхем. Например, если на схеме есть ПЛИС, у ко­
торой первая и вторая ячейки не одинаковы по свойствам (и соот­
ветственно по графическому отображению), то при перенумерации
программа не только поменяет номера ячеек, но и сами ячейки.
Другими словами номера ячеек закреплены за каким-то логиче­
ским функционалом, и не может меняться отдельно. Поэтому при
наличии таких микросхем (которые принято называть неоднород­
ными), следует запретить менять номера ячеек, что показано ·вто­
рым пунктом на рисунке 3.29. Для этого нужно нажать правой
кнопкой мыши на тех ячейках, которые нужно заблокировать и
выбрать команду Lock Selected Part ID из контекстного меню.
••
l
130
3.8. Проверка схемы и исправление ошибок
rrr•
_,,,,.
........
Рис. 3.29. Автоматическая нумерация компонентов на схеме
Если до запуска перенумерации некоторые коr.rпоненты уже
и�ели номера или нужно исправить нумераuию только повторяю­
шихся компонентов, то выполняется команда Reset AJI (Reset Dup­
licates). Стоит помнить, что при запуске автоматической нумера­
uии будуr присвоены новые номера только тем компонентам, ко­
торые вообще не имели номера. После описанных настроек
следует нажать кнопку Update Change List, в результате чего в таб­
лице Proposed Change List будет показана новая нумерация. Если
предложенный вариант устраивает пользователя, то следует на­
жать кнопку Accept Changes. Наконец, в ою-1е Engineering Change
Order нужно последовательно нажать кнопки Yalidate Changes,
Exccute Changes и Close, после чего во всей схеме будет установле­
на автоматическая нумераuия ко:-шонснтов в соответствии с оте­
чественными стандартами.
3.8. Проверка схемы и исправление ошибок
Конuептуально новым для разработчиков схем на базе P-CAD,
этапом разработки проекта в программе Altium Designer является
комnиляuия проекта. При реализации проекта печатной платы
компиляция обычно выполняется перед переда 11ей информации от
принципиальной электрической схемы в файл платы. Если в про­
грамме P-CAD после разработки схемы нужно было выполнить
проверку электрических правил (ERC) и затем сформировать спиs·
131
Глава 3. Разработка элвктрических принципиальных схем
сок соединений (NetList), то в Altium Designer выполняется ком.
пиляция всего проекта, а затем информация проекта передается в
файл платы выполнением одной команды. В связи с этим боль­
шую роль играет процесс компиляции, который выполняется дЛя
проектов всех типов, но в данном случае будет рассмотрен только
для наиболее часто применяемою проекта печатной платы.
Частый вопрос начинающих пользователей - <<Зачем нужен
процесс компиляции?». В рамках компиляции проводится провер­
ка ранее заданных правил и создается отчет о корректности вы­
полнения проекта. Кроме этого, все найденные в ходе проверки
ошибки помечаются на схеме и сопровождаются комментарием о
их природе. Результатом компиляции является отлаженный файл
проекта, готовый к формированию платы. Обозначенный выше
процесс состоит из следующих этапов:
1. Настройка опций проекта;
2. Запуск компиляции;
3. Поиск и исправление ошиб�к.
После поиска и исправления ошибок выполняется повторный
запуск компилятора и проводится исправление оставшихся оши­
бок, так процесс повторяется до полной отладки проекта.
3.8. 1. Настройка опций проекта
Опции проекта задаются на странице, которая вызывается на
экран командой Project>Project Options (при этом должен быть
выбран необходимый проект или открыт один из его документов).
Данная страница содержит несколько вкладок, на каждой из кото­
рой задаются определенные настройки (для проекта печатных
плат таких вкладок 10, см. рис. 3.30). Для компиляции проекта,
содержащего только схему, достаточно установить настройки двух
.вкладок, Епоr Reporting и Connection Matrix - рассмотрим назна­
чение каждой из этих двух вкладок.
3.8. 1.1. Настройка отчета об ошибках (Error Reporting)
Епоr reporting - отчет об о�ибках. На данной вкладке пред­
ставлен весь перечень нарушений, которые могут быть зафиксиро­
ваны в проекте данного типа. Напротив каждого пункта указыва­
ется степень важности данного нарушения для разработчика и мо­
гут быть выбраны следующие варианты:
No Report - не включать в отчет;
132
3.8. Проверка схемы и исправление ошибок
Waming - предупрежление, нарушение фиксируется, но оно
незначительно;
Error - ошибка, сушсствснное нарушение;
Fatal Error - критическая ошибка.
Все типы нарушений на вкладке Error Reporting разбиты на
группы, по отношению к определенному типу объектов. Рассмот­
рим виды нарушений, варианты их отображения и рекомендуемые
настройки.
Прежде чем рассмотреть нарушения разноrо типа стоит отме­
тить, что на начальном этапе можно включить все виды наруше­
ний, а потом, анализируя полученные ошибки, исключать те на­
рушения, которые точно не актуальны для текущего проекта. Что­
бы установить уровень всех нарушений в значение Error следует
нажать правой кнопкой мыши в любом месте окна и выбрать АН
Error (см. рис. 3.30).
1. Violations Associated with Buses - прсдупрежления, связанные
с шинами.
Здесь и далее на рисунке показаны рекомендуемые настройки,
а далее по тексту описаны особенности нарушения и синтаксис
сообщения, которое будет отображаться при нарушении. Описа­
ние опций проекта проводилось по версии 6.8, поэтому описание
некоторых нарушений отсутствует. Кроме этого не описываются
ошибки, связанные с объектами Harness и OpenBus, а также с кон­
фигурациями ПЛИС (Configuration Constrains). Синтаксис нару­
шения показан в фигурных скобках.
Opttons for РС8 Project ◄ Port Sertat lnterface.PRJPCВ
--
f? )<
Eoai\oou<,-. с..,,_,,.__
с-� "'-""'J.ECll-l�Lw..,o-,,f��P-•
[.-«Wlr�n��
f��IAl.п
lllgaЬ...CS.ni.r.w
�-L8"1g8, ...
_ь.,..,,.-,.
__......,:hf,dt:u ......
�5...-�f'alrodll�
�....,.,.. �cn\lhe,11w
..__..,_...tи-с.,Ь..
�C--..,o,Ь..fra•ltd8if
__\ol_,, __
--. ... ь...is--.lrdool
w..to::-::c:�f\l'llfCtu�
AIOlf
'
AIW.,_
W'li:r
AIFШI
SмcudOII
5"<1,dToW""WIII
$"8tИdJo&'fOt
Stlrк1ed Jo Fetal
Но­
,..__
.,Но-
-lio­
Но­
...-_
r...
18Е...
NoA-
Рис. 3.30. Предупреждения, связанные с шинами
133
Глава 3. Разработка электрических принципиальных схем
В первой группе нарушений описываются ошибки, связанные с
реализацией шин.
Bus indices out of range - номер uепи выходит за пределы опи­
санного диапазона цепей шины.
{Bus index out of range on А lndex = 4} В шине с меткой А име­
ется uепь (А4) - превышающая размерность шины.
Bus range syntaxes errors - нарушен синтаксис описания диапа­
зона шины.
{Bus range·syntax error NctNamc at Location}, где NetNamc -- не­
корректное имя шины, Location - расположение некорректной
метки шины. В общем случае шины должны иметь метку А[О.. 7]
или А[7 ..О], в которых буква задает имя шины, а uифрам11 задается
диапазон меток цепей, которые в нее входят.
Jllegal bus dcfinition - некорректное использование шины, по­
является при подключении к шине объекта, не относящегося к
одному из разрешенных (netJabel, port, sheet entry, pin, eross sl1eet
conncctor или power objcct).
lllegal bus range value - некорректное описан ие диапазона uс­
пей шины.
{Шеgа\ bus range value BusLabel at Location}, rде BusLabel - не­
корректная метка шины.
Mismatched bus label ordering - показывает идентификаторы
одной шины, ошибочно указывающие поряр.ок возрастания номе­
ров, например А(О..2] и A[2.. 0J.
{ Mismatched bus ordering on NetName Low value first and High
value first}
Mismatched bus widths - несовпадение ширины шины и назва­
ния порта.
{Mismatched bus widths on bus section NetName (BusSize I and
BusSize2)}, rде NetName - несовпадаюшая метка шины и в скоб­
ках размеры шины и порта.
Mismatched Bus/Wire object on Wire/Bus - несовпадение типа
идентификатора и названия цепи (шины). Ошибка появляетсн в
том случае, если шина заведена в порт (или другой идентифика­
тор) описывающий одиночную uenь.
{Wire Port А at 100mm, 100mm placed оп а bus} - ошибка свиде­
тельствует, что nорт А (описываюший одиночную uепь) nодклю­
чен к шине. В общем случае, ошибка выглядит так: {Objcctldentifi­
er at Location placed on ал ObjectType}, rдс Objectldentifier - не­
корректная метка идентификатора (вывод, порт, порт питания,
134
--
3.8. Проверка схемы и исправление ошибок
вывод листа, метка цепи), которая сопровождается меткой, гово­
рящей о принадлежности к цепи (Wire) или шине (Btts). Locati­
on - координаты некорректного идентификатора, ObjectType объект, подключенный к некорректному идентификатору (цепь
или шина).
Mixed generic and numeric bus labeling - смешанная буквен­
но-цифровая маркировка шины. Шины, подключенные к одно­
именным портам, имеют различное описание разрядности, напри­
мер, А[О.. 2] и А[О"Ь]
Optlons for РСВ Project 4 Port Sen11l lnterf11ce.PRJPC8 ____
,�
���r.--...1�•
'-...._.. ,�[.�
-�-
�1.,..,_.,,..,... .....
�¼���.,.-.w.q
rN"P"'�
�
..�o.ctrt•�­
,����---
torcxn,r,�..,_..,,..ror--t-'�
еа--,, ...............
-...-
Dчk-P"c�
Е-.сп���р......,_
'f••pnkuo'1� .... lfWIOI'
�t,ddwlpncor«tiCnl
lloш-,oC.......,,WadrlP-
....... �Modol,
�t�Noa.n""OIW�.,,,
��Ьn:t��--.tto:»
�FCU'd-1Df''lrinМodiilL«.,..
ShootS..,Ы...,_.., __
UnD ..� .... -��
t,h.мd..Ь.-1\�
ш,(;
[�
,:-
��Чооt
�..........
...
llfU(hO
ow......,
.[
uw.....,
с.,
.,.....
'"",.,,
..
�\1,'�
(8(113
[80
JW...,.
Рис. 3.31. Предупреждения, связанные с компонентами
{Mismatched generic and nнmeric bus labeling on NetName Level
value first and Generic}, rде NetName - некорректно описанная
метка шины.
2. Yiolations Associated with Components - предупреждения свя­
занные с компонентами.
Component lmplementations with invalid pins mappings - ошибка
появляется при компиляции интегрированных библиотек и свиде­
тельствует о несовпадении количества выводов у символа и моде­
ли компонента.
{SN7432: Could not find port 13 on model DIPl4 for pin 13} - у
компонента SN7432 мя вывода 13 не найдена соответствующая
ножка на корпусе DIPl4.
Components containing dup\icate sub-parts - ошибка свидетель­
ствует о· наличии нескольких одинаковых ячеек одной и той же
135
Глава 3. Разработка электрических принципиальных схем
микросхемы. Например, пер,щя ячейка микросхемы SN7432 уста­
новлена n двух местах с позиuионным обозначением DD 1.1.
{Component ComponentName has duplicate sub-parts at Locationl
and Location2}
Components with duplicate pins - сообшение свидетельствует о
наличии двух или более одноименных обозначений вывода ко��по­
нента.
{Duplicate pins in component Pin ldcntifier l and Pin ldentifier2}
Duplicate Part Designators - задублированы позиционные обо­
значения компонентов на схеме (плате).
{Duplicate Component Designators PartDesignator at Location I and
Location2}
Errors in Component Model Parameters - ошибка появляется
при компиляuии интегрированных библиотек и свидетельствует
об отсутствии описания модели одного или нескольких выводов в
IВIS-модели для анализа целостности сигналов.
{ComponentName: Pin models could not Ье found}
Extra pin found in component display mode - найден дополните­
льный вывод в текушем режиме отображения компонента.
Mismatched hidden pin connections - данное сообщение свиде­
тельствует о наличии скрытых выводов у компонентов и показы­
вает цепи, к которым по умолчанию подюtючены данные выводы.
Mismatched Pin Visibllity - несовпадение названия uепи под­
ключенной к выводу компонента с названием цепи к которому по
умолчанию должен быть подключен данный вывод в случае его
неотображения на схеме (данная ошибка встречается при исполь­
зовании скрытых выводов земли и питания).
Missing Components Models - ошибка появляется при ко�ши­
ляции интегрированных библиотек и свидетельствует о наличии
моделей со ссылками на несуществуюшие файлы библиотек либо
на несуществующие модели n библиотеке.
Missing Componcnts Models in Model files - ошибка появляется
при компиляции интегрированных библиотек и свидетельствует о
наличии отдельных файлов моделей (таких как Spise и IBIS).
Missing Pin Found in Component Display Modc - найден необо­
значенный вывод в текущем режиме отображения компонента.
Sheet Symbol with duplicate entries - сообщение, свидетельству­
ющее о наличии двух одноименных выводов листа на одном сим­
воле листа.
136
3.8. Проверка схемы и исправление ошибок
Un-Designatcd parts requiriпg annotatioп - сообщение, свидете­
льствующее о наличии необозначснных позиционных обозначе­
ни й компонентов (R?, О? и т. д.).
Unused sub-part in component - сообщение, показывающее не­
задействованные ячейки многосекционных компонентоn.
3. Violations Associated with Configuration Constrains - преду­
преждения связанные с ограничениями конфигурации.
rf 1<
Optfons for РСВ Project 4 Port Serial lnterface.PRJPCB
'-"' В..d�Olf""""�­
ec.,,.._.,cenq.,.,._H•�Вo,,w�I,....._•
(_,...wito"l"l8C:loCtt&IIOf'lftltGn�
Cau.,..PtllW-.iPr'lnC<rfq,,......
Рис. 3.32. Предупреждения, связанные с ограничениями конфигурации
Не описываются, т. к. относятся в большей степени к реализа­
ции проектов ПЛИС или соnместных проектов плат и ПЛИС.
4. Violations Associated with Documents - предупреждения свя­
занные с документами.
Optlons for РСВ Project 4 Port Sertal lnterface.PRJPCB
r... �........, �-"- a...E-"""�c..- [��o,.;;;;i .....,!>,omo/L-�P...JP-.._
°"""*-�-
-
С•А<�С-,,,,
[lцiuf,...,......,..
,,,........ f'!'l.-lol"-.,.+,<
,.,,.... c!,io...,.,.,"-.,,.W
, "-...;,,
Шlw...-c
aw......,
r...
(ос,
r...
�..щ, Со/о,_,..,,,.
uw....,,
..,_�,..
L.)\;1-
.......
,�""'"""'cdlo--.,,,Ы
,.,...... �-"'-
s....,("8)1"".,.""'°�Lln,J,•�[111n
Г? i�i
L)lw....,
[..,
E•cr
Е""
C]W...-.g
Рис. 3.33. Предупреждения, связанные с документами
Duplicate Sheet Symbol Names - данное сообщение появляется,
когда на одном листе схемы повторяются как минимум два обо­
значения символов листов.
Missing child HDL entity for shcct symbol - сообщение, показы­
вающее несопоставимые имена выводов на символах листов и
описание этих выводов в подчиненном листе описанном на HDL.
Missing child sheet for shcet symbol - сообщение, показывающее
несопоставимыс имена выводов на символах листов и порты, со­
ответствующие этим выводам на подчиненном листе схемы.
137
Глава 3. Разработка электрических принципиальных схем
Multiple Top-Levet Documents - сообщение, появляющееся при
компиляuии иерархического проекта, свидетельствующее о нали­
чии двух или более листов верхнеrо уровня.
Port not linked to parent sheet symbol - сообщение компилятора
о наличии порта на подчиненном листе схемы, не имеющего от­
ветной части на символе листа этой схемы на верхнем уровне
иерархии. Все выводы на символах листов главной схемы должны
быть синхронизированы с соответствуюшими портами на подчи­
ненных листах.
Sheet Entry not linked to child sheet - сообщение компилятора о
наличии вывода листа на главном листе схемы, не имеющего от­
ветной части на одной из подчиненных схем.
Unique ldentifiers Errors - сообщение, показываюшее по край­
ней �1ере два компонента, листа схем или комбинацию этих· объ­
ектов имеющие одинаковые уникальные номера (1D).
5. Violations Associated with Harnesscs - предуnреждсния свя­
занные со жгутами
1_? Х
Optlons for РСВ ProJect 4 Port Ser1al lnterface.PRJPCB
Ca/lcirV�""""p-,
н..,,.tcmoro,1,.,.s-r...
��-,,,.,.
,,,._,
11.-..-,� ... н.,.....
u...-м-, !к,,
ЧоR-'
tloR-'
NoR ....
t.o A,pat
tlo,...,...
Не рассматриваются n данной статье. Если на схеме нс исполь­
зуются жгуты, то вес нарушения данной группы можно выклю•1ить
из проверки.
6. Violatioлs Associated with Ncts - предупреждения связанные с
цепями
Adding hidden net to sheet - добавление скрытых выводов на
листе схемы
Addi11g ltems from hidden net to net - сообщение, показываю­
шее цепи, подключенные к выводам компонента, которые изна•rа­
льно были подключены через скрытые выводы. Таким образом,
производится поиск несанкuионированноrо подключения цепей
питания к компоненту.
Differential Pair Nct Conncction Polarity lnversed - соединение
цепей дифференциальной пары с различной полярностью.
138
--
3.8. Проверка схемы и исправление ошибок
Differential Pair Net Unconnected То Diffcrentia\ Pair Pin - от­
сутствие подк.1юченис между цепями и вывопами дифференциаль­
ной пары.
Optlons for РСВ Project UcheЬ11.PrjPcЬ___
..,...�-�-
...,"'<IIJII C1м.aG.ener�(at(Nf• ECOGf:tlCf� о�
L
�-,., .. �с,<
Addr,o-.М'-►oddм,.,,.nol
д.,�Роt, lo().,;c,f'nl
8"'81)t.i,tc:,on4�•
D�•-Po,11.. (-.,,P,,&e,q,lrweoted
0,-.,,p,;11"u-1,,нoDilt.......... P
.. ,,.,
Q.li.,,.._,,p., l""""""Ca-мctюloO<м:o
Oцlk.aio�d•
___
rm]
s�P• P11ffl"let«•I
_.._..,.
c.:JWo,...,
..l[ao
..JW•.....,
(1.а1
(JW....,;
tlE ...
Е,.,
EКlf
"""'9,-etlot,I,
.,;j[nc,
�--�.,--
.JW.....-.o
Пou,v-.t,,,ds
"...-оь,м..,.в.,,
H�Oь,.cton•'w•�
�u,g�l�#llttnttil�P•
Мit11"9P��rt�P•
N!!P•�м1""'81,f'IONPl8
htt:P�"""en.,..nov-..
hdaea._.,,rio-r'!Jf'<.UPI"'
�eticortf11"8'1gn.8oei.rtl.orot.,cti
Nе,Ь.��-�
N.Ь.,,,,,.._�o,,mglOUIOI
н.,,.., .,о\>...,..,
Nt'Q��t�f'C'�
5...,н.,'40dn�Niir...,...,P••
Е""'
5i№R_,
NoR..-:
Е.�
ECI
LJW__..,
UW�
Е•о
E"or
[IIOI
NoRepoit
r...
En1Y
f.O(t
s--....-••-•
uw.......,
s..,-.-
ow.......,
--.no.._
Sqwik.-t1�o,,,t,1
E11(W
E.-
1 -�-�:.:...__________________�D=""=...-,;i"------•�••
So,4, w,t,r,olood
--- .. no1
uw........
Рис. 3.35. Предупреждения. связанные с цепями
Differential Pair Net Unproperly Connected to Device - некорректное подключение дифференuиалъной пары к устройству.
Duplicate Nets - задублированы имена uепей.
Floating net labels - неподключенные метки цепей.
Ftoating power objects - неподключенные объекты питания.
Missing Negative Net in Differential Pair - отсутствует негативная uепь о дифференциальной паре.
Missing Positive Net in Differential Pair - отсутствует позитивная
uепь в дифференциальной паре.
Net Paramcters with no namc - безымянные параметры uепи.
Net Parameters with no vatue - параметры uепи, не имеющие
значения.
Nets containing floating input pins - цепи, содержащие нелод­
ключенный вхопной вывод.
139
Глава З. Разработка электрических принципиальнь,х схем
Nets containing multiple similar objects - uепи, содержащие не­
сколько дублирующихся объектов.
Nets with multiple namcs - uепь, содержащая несколько меток
(имен).
Nets with no driving source - цепь, не имсюшая источника воз­
буждения.
Nets with only one pin - цепь, имеющая только один вывод.
Same Net used in Multiple Differential Pairs - одна и та же цепь
используется в нескольких дифференuиальных парах.
Sheets contшning duplicate ports - листы схемы содержат повто­
ряющиеся порты.
Signals with multiple driver - сиrnал имеет несколько источников возбуждения.
Signals with no driver - сигнал без источника возбуждения.
Signals with no load - сигнал без нагрузки.
Unconnected object in net - неnодключенные объекты в цепи.
Unconnected wires - неподключенные окончания цепей.
7. Violations Associated with Othcrs, Violations Associated with Pa­
rameters .,_ предупреждения, связанные с параметрами и другие.
!JЬiedm(Dlc;,'.l<h\w!!Ьordnl
Ot\9dщ«I
1
�мrмrtw:.e §Pt ы
Sn��irto'lм-nJcile<edl\lPtl
SIN P.Y•ttcaЬrf'9 �eN� ..
ruc. J.Jb. дополнительные правила
Object not complete\y within shcct boundзries ...,. объекты, не пол­
ностью попадаюшис n границы листа.
Off-grid object - объекты расположенные не в узлах сетки.
Same parameter containing different types - одинаковые парамет­
ры, имеющие различный тип.
Same parameter containing diffcrent values - одинаковые пара­
метры, имеюшие различные значения.
Многие из рассмотренных настроек моrут изменяться для раз­
личных проектов, и большую роль здесь играет использование
шин, жrутов, �:�ортов и друтих вспомогательных элементов.
3.8.1.2. Настройка матрицы соединений (Conne(?tion Matrix)
На второй вкладке опций проекта показана матрица соедине­
ний в которой по горизонтали и вертикали перечислены всевоз­
можные типы выводов, портов и выводов листа. Данная матрина
140
3.8. Проверка схемы и исправление ошибок
за.паст уровень значимости подключений каждого типа. Например,
no умолчанию на пересечении строки и столбца lnput Port уста­
новлено значение Error - это говорит о том, что одна цепь не мо­
жет содержать два и более входных порта, но для многих схем это
является нормальным! Таким образом, в данной матрице нужно
опис ать пересечения всех типов.
Данная статья написана по материалам справочного руководст­
ва Altium Designer, которое находится в папке Help директории
установюf программы.
Optlons for РСВ Project ◄ Port Serial lnterface.PRJPCB
[? lfxl
·---...
■. ................
11-~
□-·--­
·--·...
■■--,­
-
■■□□--"'""
°"""'""'
o
• ,.... Pwl-
_,
.,._....,
■ Ft/,1[..,
■ ED
[Jw....,,
ilNo�
□--...,
lil __ .,,..
Рис. 3.37. Матрица соединений
3.8. 1.3. Дополнительные настройки
Непосредственное влияние на компиляцию оказывают только
настройки описанных выше двух групп, но для работы в некото­
рых случаях используются и остальные вкладки. Описание после­
дующих вкладок рассмотрено в сокращенном виде, т. к. опции бо­
льшинства из них используются по умолчанию, а те редкие слу­
чаи, когда эти опции необходимо менять, будут рассмотрены
отдельно.
141
Глава 3. Разработка электрических принципиальных схем
Вкладка Class Generation - правила формирования классов uе­
пей и компонентов. При желании можно отключить формирова­
ние комнат и классов компонентов согласно подлистам схемы.
Вкладка Comparator - настройки компаратора. Эти настройки
используются при синхронизации проекта, при котором происхо­
дит сравнение схемы и платы. Результатом синхронизации являет­
ся перечень отличий между схемой и платой, а данный список
определяет тот набор отличий, которые при синхронизации будут
проверяться.
Вкладка ЕСО Gcneration - настройки отчета о перечне измене­
ний. Выбираются те изменения, которые следует включить в от­
чет; по умолчанию включены все опции - изменять эти данные
нецелесообразно.
Вк.,'Тадка Options - группа дополнительных настроек проекта.
Здесь задаются директории сохранения файлоu отчета о компиля­
ции, к,омпараuии и т. д. В группе Output Options - выбираются
действия, которые следует применить после выполнения процесса
компиляции. Наиболее существенными здесь являются группы
Netlist Options и Net ldentifier Scope. В первой задаются правила
именования цепей при формировании списка соединений для
платы:
• Allow Ports to Name Ncts - присваивать цепям имена от под­
ю1ючснных портов;
• Allow Sheet Entries to Name Nets - присваивать цепям имена
от подключенных выводов с подчиненного листа схемы
(Sheet Entries);
• Append Shect Numbers to Local Nets - добавлять к именам
локальных цепей номер подлиста;
• Higher Level Names Take Priority - отдать меткам цепей наи­
высший приоритет*;
• Power Рогt Names Take Priority - отдать портам питания наивысший приоритет*;
* - используется в том случае, когда на одну и ту же uепь установлены
одновременно метка uепи и порт питания. Группа Nct ldeпtifier Scope
определяет область действия идентификаторов, о чем подробно описано в
главе 3.10.1.
Вкладка Multi Channcl - задает порядок номерации компонен­
тов при реализации многоканальных и иерархических проектов.
142
3.8. Проверка схемы и исправление ошибок
Вкладка Default Priпts - настройки распечатки документации
пр оекта. Этот вопрос рассматривается отдельно для документации
разного типа в главах 3.15.2. и 4.15.3.
Вкладка Search Puths - расположение библиотек, в которых по
�юлчанию будет проводиться поиск компонентов.
Вкладка Parameters - параметры проекта, которые могут быть
использованы для составления текстовых надписей, являющихся
обшими для всех документов проекта.
Вкладка Device Sheets - расположение директорий, содержа­
ших схемы, которые используются в проекте в качестве готовых
решений (подсхем) в иерархии нижних уровней.
Для более пол.робного знакомства с настройками компиляции
проекта рекомендуется ознакомиться со статьей TR0\42 Project
Compiler Error Reference.pdf. в документации Altium Designer\Help.
3.8.2. Компиляция и верификация проекта
После установки настроек согласно установкам на рисунках
3.30-3.37 можно выполнять компиляцию проекта и ero отладку
при наличии ошибок. Запустим компиляцию командой Pro­
ject>Compile РСВ Project, результатом появления которой станет
появление окна Messages с ошибками проекта (компиляцию удоб­
нее запускать через панель Projects, для чего нужно нажать правой
клавишей мыши на нужном проекте и выбрать команду Compi­
le... ). Если проект был выполнен в соответствии с рисунком 3.18,
то в списке сообщений будет 2 ошибки и несколько десятков пре­
дупреждений (рис. 3.38).
После компиляции начинается один из наиболее сложных эта­
пов работы с программой - отладка схемы. Необходимо добиться
No.
1Trne
IDp
1621 32 31 07 2Ш3
(Eno,J
Uc:hю&Scli)oc � NeHJeIOD1_6hai�onepin(Pr,DD1 61 16;21 32 31 07 2008
1Еi21.З2 3107.2008
(\1/,wrw,g) Uct,,Ьo ScIOoc � Bur ndex O<t о/ ,ange о,, А lndeo< • б
Г,,.,�) UcheЬ4ScIOoc С(Щ)iе1 �ХР1 DRB-�M_1 tw,n,:edru. 16.21 32 31.07.2008
(\1/�) Uct>eЬa.ScIOoc Con1)ief CcщlcnertXP1 DAB-�M_1 м, .......edru. 16 21 32 31 07.2\nl
1621.32 31072008
Г,,.,�J UcheЬa ScIOoc Сщ,1е! ОК � е11<Wi 55nm 147 3&tvn
16·21:32 31 072008
Г,,.,�) Uchel>aScIOoc � ОН gкt е111Э.7Зnm,182 38nvn
1&:2132 31.072008
(\1/М'Wl!I) UchoЬoScIOoc Сщ,1е! Offg-d е11НО5стvц,149.88тn
16;2132 3107 2IOJ
(\1/...-..g] UcheмScIOoc С,щ,iе, Dff� at 116.55mm,147.З&tvn
16;21·32 )1 07 2008
(W......-,gl UchoЬo ScIOoc Ccщ,ioi DH gid е1116.55rm,.170 2mm
1 Oa:i , Docuner,
Stuee Meu'l!I','
,: r=81'@f:Mit•fflt� Nee AS мt � one ро, (Pn DD1·2J
107
О \(),1
01()'j
0106
О 50
О 60
033
045
0 55
,.
у
Рис. 3.38. Список нарушений
143
Глава З. Разработка электрических принципиальных схем
того, чтобы в списке нарушений (Messages) не содержалось ни од­
ной ошибки (Error и Fata\ Егтоr), наличие предупреждений не
критично. Отладку рекомендуется выполнять поэтапно, сначала
избавиться от одной ошибки, затем снова провести компиляцию и
только после этого переходить к следующей ошибке. Вернемся к
рассматриваемой схеме - выполним двойной щелчок мыши на
первой ошибке. В результате на экране появится окно Compile Er­
rors, в котором приведен текст данной ошибке (в нашем случае
указана цепь А6, которая имеет только I вывод). Так как решение
проблемы не видно с первого взгляда, перейдем ко второй ошиб­
ке. При вьmелении второй ошибке в сообщении написано, что
имеется некоторая цепь, содержащая только один вывод, откуда
становится понятно, что на этой цепи не хватает метки (цепь вхо­
дит в шину и при этом не имеет метку цепи). Выполним команду
Place> Net Label и установим метку Аб на эту цепь. После проведе­
ния компиляции окно нарушений не будет содержать ошибок. Те­
перь мы имеем проект, содержащий одну схему, готовый к пере­
даче информации на л�чатную плату.
3.9. Редактирование схем (lnspector, List и др.)
Редактирование свойств одиночных объектов было описано в
главе 1.5.3, где было сказано, что для изменения внутренних
свойств объектов всеща используется панель Inspector. Эта па­
нель, в сочетании с некоторыми другими (Find Simi]ar Objects,
List) организует так называемое глобальное редактирование, т. е.
одновременное изменение свойств у указанной группы объектов.
Рассмотрим примеры глобального редактирования, применитель­
но к схеме.
Первая задача, которая стоит при данной работе - это выделе­
ние заданной группы объектов. Для этого имеется несколько спо­
собов выбора объектов, например, можно использовать стандарт­
ные варианты выделения, используя ShifHCJick. Такой подход ра­
ционален при выборе незначительного числа объектов или когда
имеется несколько типов объектов, редактируемых одновременно,
находящихся на одном листе.
Для вьmсления нескольких объектов, которые могут при этом
находиться на разных листах схемы, используется команда Find
Similar Objects. Для открытия этого диалога щелкните ПК на од144
З.9. Редактирование схем {lnspector, List и др.)
ном из объектов редактирования и выберите из контекстного
1-1 еню Find Similar Objccts.
Рассмотрим данную процедуру на конкретном примере, взятом
1'13 директории C:\Program Files\Altium Designer 6\Exarnples под на­
званием 4 Port UART and Linc Drivcrs. Скажем, необходимо из:.tе­
нитъ имя цепи питания на схеме с -12У на -5У. Это потребует из­
менения атрибуrа всех портов питания - 12V на всех листах.
·12V
U4
'Rтsc
1 ,,..., �... оы.,ь
ТХD
� �е
btRD
RТSD
f..,.,
1:\о(е
!!.ef�o,
�
�
S,ods
Рис. 3.39. Запуск панели Find Similar Objects
l. Первым шаrом необходимо обнаружить один из данных пор­
тов на схеме, выполнить щелчок ПК на нем и выбрать Find Similar
Objects (рис. 3.39), после чеrо появится окно, показанное на ри­
сунке 3.40.
2. При появлении окна Find Similar Objects необходимо создать
запрос, т. е. указать критерии выбора объектов на схеме. Данное
окно имеет три столбца, в первом перечислены параметры, до­
ступные для данного типа объектов (набор этих параметров значи­
тельно меняется в зависимости от типа выбранного объекта), во
втором столбце указано текущее значение параметра для выбран­
ного объекта, а в последИем столбце критерий выбора. Для каждо­
го параметра объекта вы можете установить такие свойства срав­
нения, как Same (Одинаковый), Different (Другой) или Any (Лю­
бо й). В рассматриваемом примере нас интересуют порты питания
-12V, таким образом, необходимо в строках Object Юnd (тип объ­
екта) и Text (текст) указать критерий выбора Same, в результате
данный запрос применим к портам питания Power Object со зна­
чением -12V (см. выделения на рис. 3.40). Все остальные парамет­
ры имеют значение Any, т. е. эти критерии не имеют значения для
данного запроса.
145
Глава 3. Разработка электрических принципиальных схем
Flnd SlmllAir Objects
�
Oliloclt<nd
СсЬ
Х1
У1
Orcnt.ьan
Lod<t:d
Po...DЬ,octS'llo
S'-NetllМlt
Selocled
�
�
! APi-1 1 1 °� 11 с_,.
Рис. 3.40. Выбор объектов по заданным критериям
3. После установки критерия выбора, необходимо указать об­
ласть действия запроса (см. 1. на рис. 3.40) и выбрать команду для
запроса (см. 2. на рис. 3.40). В данном случае указываем область
действия Open Document (открытые документы, предполагается,
что уже открыты все листы схем указанного проекта) и включае:-.1
опции Select Matching и Run lnspector. В целом для выбранных
объектов имеется возможность применить следующие действия:
• Zoom Matching - масштабировать выбранные объекты во
весь экран;
• Clear Existing - снять выделение с ранее выбранных объектов;
• Mask Matching - наложить маску на выбранные объекты (при
этом объекты не попавшие в выделение будут затенены)
• Select Matcl1ing - выделить выбранные объекты, чтобы к
ним потом можно было применять действия;
• Create Expression - сформировать запрос для панели Filter,
при этом запрос будет сохранен в истории, и может в даль­
нейшем быть использован с меньшими тРУдозатратами;
• Run Inspector - запустить панель lnspector.
146
3.9. Редактирование схем (lnspector, List и др.)
Стоит запомнить, что панель Find Similar Objects используется
только для формирования запроса на выделение объектов опреде­
ленного типа. Эта панель не используется для из�rенения свойств
объектов!!!
После выбора указанных настроек и нажатия клавиши ОК за­
пускается панель lnspector (рис. 3.41 ).
Е1 De,-=
ign
-- ___
'--
[ Ownei Documen!
< .•• )
В Б1aphi·c al
_ _____
_
___
•
_ __
_
_
Colol
Х1
У1
Orientatюn
locked
Powet OЬiect St!,Ae
Show Net Name
В Object Specific
8126
< ... >
�
<... >
О
Bar
�
Texl
5 oЬject{$) а,е displayed
n 2 document(•J
Рис. 3.41. Свойства группы портов
Основная задача панели Inspector - вывести список свойств
выбранных объектов. Набор выбранных объектов может быть то­
лько одинакового типа, например, на рисунке 3.41 показаны свой­
ства пяти портов питания.
Свой_ства, идентичные для всех выбранных объектов, получают
отображе·ние их значений, например, в данном случае показан
uвет портов питания (Co\or), стиль порта питания (Power Object
Style - Bar) и опuия Show Net Name (Отображать название uепи).
Для каждого свойства порта питания, имеющего различные значе­
ния будет указано <... > (например, в позиuии XI). Это означает,
что не все эти пять объектов имеют одно и тоже значение для XI.
Кроме перечня свойств объекта панель Inspector имеет две опции
по. определению области действия панели: это опuия lnc\ude, ко­
торая устанавливает ограничения на тип отображаемых парамет­
ров, и опuия from - определяющая документы с которыми ведет147
Глава 3. Разработка электрических принципиальных схем
ся работа. В нашем случае в опuии lnclude имеется только од ин
выбор - Powcr Object (так как панель lnspector была запущена из
окна Find Similar Objects), а в опции from необходимо выбрать
Open Document of the Same Project (Открытые документы данного
проекта). В результате выполнения всех действий в строке состоя­
ния панели lnspector будет указано количество выбранных объек­
тов и на скольких листах они находятся (см. рис. 3.41).
Панель lnspector может быть использована и для работы со
свойствами ед11 ничных объектов, что более удобно, чем каждый
раз заходить в свойства объекта двойным щелчком мыши, либо
вызывать из контекстного меню по правой клавише. При запу­
щенной панелк lnspector, выбрав объект на схеме, его свойства
будут отображены в панели и доступны для редактирования, при
этом не будет стандартного окна свой<-'ТВ объекта, которое к тому
же закрывает собой большую часть локумента.
4. Выбрав объекты и просмотрев их свойства можно перейти к
редактированию необходимого параметра. В рассматриваемом
примере устанавлива_ем указатель мыши в строку Text, вместо
-I2V вводим новое значение -5V и нажимаем Enter. После проде­
ланных манипуляций значение метки порта питания -I2V смени­
лось на -5V на всех листах схемы.
При последо,зательном выполнении оnисаl'tнЫХ действий после
смены имен портов все другие объекты на схеме маскируются
(т. е. показаны более светлым цветом и не доступны для редакти­
рования). Чтобы снять маскировку и продолжить работу с доку­
ментом, необходимо нажап, комбинацию клавиш Shift+C.
Редактирование, которое выполнялось выше относилось к про­
стому примитиву, т. е. одному из базовых объектов, используемы х
в схемном редакторе. Более сложные объекты, такие как компо­
ненты, называют составными примитивами; они являются по су­
ществу набором простых примитивов.
Рассмотрим пример типичного сложного объекта, редактирова­
ние которого необходимо выполнить. Данный проект (4 Port
UART and Line Drivers) содержит несколько конденсаторов 0,IuF,
мя которых необходимо добавить параметр POWER (Напряже­
ние). Данную npoueдypy проделаем в несколько шагов:
1. Выберем все конденсаторы данного типа,
2. Добавим новый параметр для конденсатора
3. Установим видимость добавленного параметра
148
3.9. Редактирование схем (lnspector, List и др.)
Шаr 1. Выбор всех конденсаторов O,luF D проекте.
Находим на схеме конденсатор С4, который принадлежит ре­
дактируемой группе, нажимаем на нем правой кнопкой мыши и
запускаем Find Similar Objects. Окно Find Similar Objccts имеет не­
ск олько другой вид - перечень лоступных- свойств гораздо боль­
ше, чем при работе с простыми примитивами. Зададим запрос на
выделение параметров следующим образом (рис. 3.42) - ограни­
чение на позиционное обозначение (С* - выбор только конленса­
торов) и на комментарJ1й (0, luF - выделять только с данным на­
пряжением). Вклю•1аем опции как показано на рисунке 3.42.
lffi
flnd Slmilor Objoc,1
о.-,
1.,х1,.о.1.,х1,.Р.,.,о
PY,.l.o<"od
rk11 ...
Ccd9'-
I.Ь'"1'
S,-юc,lя./i,..-.u,
с.....-.1 roщmo
�Tl'P8
,.,..,
.,,,
/.п;
.,
,.,..,
,.,..,
,.,,,
м,
.,�
САР 2'-1
.,�
s.,,.,
\S.,,.j
·-·
Flдl)Q.2
,.,.,
S1..-dold
n-,..,_,.т...w..t.1-
EJ;:....w...i,,,g EJ�Иaldw,g
00е<,,Е..щ� Oc-ioE,p•,_
0!!""-Mllid-rO @fi..,lnqжt<W
.]
10..,,0....-, \yi
о,.
11
С4'1О11
1
Рис. 3.42. Запрос для поиска конденсаторов
После нажатия кнопки ОК запускается панель Inspector.
Шаг 2. Добавление нового параметра.
Для составных объектов в панели Inspcctor имеется вкладка fa­
ramcters (с�. рис. 3.43), в которой перечислены nce параметры, на­
значенные для выбранных компонентов.
Чтобы добавить пара!\1етр Power, устанавливаем курсор в поле
Add User Parameter и вводим значение параметра IOY, после чего
нажимаем Enter. После ввода значения параметра появляется за­
прос на ввод название самого параметра, здесь необходимо ввести
149
Глава 3. Разработна электрических принципиальных схем
lnc:ude ol'YP:1с1н;t1 �"" ОЦt1,Jc,щnenl•
Pwl,Lod<ed
�
fl,, """"'
Corl>sµ/Jlion
tь..,
Si,rbl R..,.,enc,,
�P••OO!I!'
Cu1onlP01t
t
l'le..,, enter par,111,ete, ,,..,.,
СдР
LLJI
1
С..-.се!
01..f
C..,ent fOOф(rl
RAD02----,..--.-,..,-,-.
------ '
S1..-.dafd
�Ти,е
Ddoiw.e Т.ЫО N.,_
Use lЬ"'!' Narne
jy'
U.e Dol/JЬase Т аЬ1е Narne �
De.iv> lle,n 1О
СдР�
P§fumr,м
□ -[ □
� □
lcs _J_c9
\Х�
1
х�
х�
х�
Хмо-ц.,.;•gР/N
х�
X\::f,gP-R..�,j
CдPACJTOR
СОО1037
0.1111'
ВС Сощюnеf11а
2222370 2210.
0.05
тo.luFт0.1
□
-rr
-.
,:r
□
__,L_
100,I
1W
10 �•) .,. � r, 2 dcc:cr"cnl!•I
Рис_ 3-43_ Добавление нового параметра
ero название (Power). После проделанных действий у выбранных
компонентов появился новый параметр, однаК"О, на схеме он пока
не отображен.
Шаг 3. Отображение видимости параметра компонента.
Для того, чтобы сделать добавленный параметр видимым, необ­
ходимо менять уже ero свойства, а не свойства комnонснта. Чтобы
перейти к свойствам параметра, необходимо ero выбрать в панели
Inspector, после чего в этой же панели появляются свойства пара­
метра, о чем свидетельствует надпись Parameter в строке Object
kind, появившаяся вместо Part.
Следующим действием нужно выключить опuию Hide (см.
рис_ 3.44), после чего на листе схемы появилось значение парамет­
ра (I0V). Теперь, чтобы от свойств параметра вернуться назад к
свойствам компонента, выбрать строку Owner в панели Inspector
(см. рис. 3.44).
При проектировании каждому типу объектов может быть назна­
чено несколько параметров, информаuия о которых используется
для оформления документации. Параметры документа используют­
ся для оформления чертежей схемы и платы, параметры компонента
150
3.9. Редактирование схем (lnspector, List и др.)
•Х
идуr лля оформления перечней и SOf- too
lrdJce
о1
м,м
о/
mcts
hcn
�n
docunem
р
спецификаций. Все используемые
BJC:ind
параметры мoryr редактироваться
01:,ject Y.ind
P111ame1e1
индивидуально лля каждого ком­ 1!111 ..
понента, при высокой плотности 8 G1aphic:.ol
Cdol
■ 8388603
современных устройств это бывает
Х1
<.••)
не рационально, а иногда невоз­
1(�
можно. Как п оменять параметры
� >
onlid
для одинаковых компонентов было
Or,ent1>1oon
ODegren
показано в предыдущих примерах.
H0112onlolJuill�
Le!:
Bortom
Veflic,,IJ�
теперь рассмотрим, как нзменить
N01>e
Te>d Horizoш!Anchor
параметры для разных объектов.
Т e,ct Ve,!ocol Anchor
Norw
Для данной задачи используется
Lod-ed .
Г]
ShowN
;_)
окно управления параметрами, ко­
д.lopou,o,,
•✓
торое вызывается по команде Para­ 8
metcr Managerиз меню Tools. После
< •• )1
i)J
такого выбора появляется диалог
Pe1-•Nр""""
Parameter Editor Option, в котором
STAIIШ
Туре
необходимо указать - с какими па­
м~ lbOII' Synchcrce �
Utow O«otme с;!""Ф� ;?]
раметрами ведется работа.
В данном случае (рис. 3.45) вы­ 1 о щectt•J "'" � in 2 doc\.menl(sl
бираем Parts - т. е. будем менять Рис. 3.44. Изменение свойств
п араметры компонентов, причем
параметра через свойства
компонспта
желательно включать опцию Exc­
lude System Parameters (Исключить
системные п араметры). После на­
.,_,.__ в,,
жатия кнопки ОК откроется окно,
•
□
□�-показанное на рисунке 3.46, в ко­
1 □ т а- □- □тором можно поменять значение
любого параметра.
Чтобы изменить значение груп­
пы параметров, необходимо шелк­
E]f.-.� .............. □
нуть правой клавишей на выделе­
нии и выбрать Edit, после чего
можно ввести новый Пilрамтр, ко­
Рис ..3.45. Изменение
торый будет применен ко всей вы­
парадРmр�в компонен.мов
Dеленной группе КО:<.!ПОНСНТОВ.
Важной особенност1,ю работы с
данным окном является его взаимопомержк:.� с внешними табт1цами (например, Excel), т. е. можно коnироБ:пь фрагменты табли-
о]
14z��.
--k,j
151
rлава 3. Разработка электрических принципиальных схем
-·
"'_,.....,.
__,,__,,.
,.._lW'l, .,...t.нt.-1.1--�t..,.....2�IIW
Ktwo,�.-. ш:JJ/'1L"I0,1
.,._�, .,.11"t-t:r
•t.u,ril<J IR!L•eC--0
•"-"�1 ....-u.t-ct
1r-.1,W11r4i.,.r-n
• ,..Lt,1111,.,...,.,_a
,,_Ulflllff.,.LNt-U
•�1,1,1111: ... .,.1-см
�... ......_ .._,,,
.Whe.rd&o...{-(11
...... �, ... t.n,C-.C1J
4'"""Uitil'YHt..rcC..O•
� .. .,.,...t.c.,C'I'
6'hr.,,,�C'8c8CМ,
-.... .......... (',t,t8(,J
...... .,..,...... ,_('1
,,_u,111.,..
,.,.._,...,�_,,
,
r,,&l\&�d..._t_N
1.,.t-JI
,.,.,.w,nu.O...f!I
1�Utr,'IIJ8"111UWC-fll
&SA8'Ar4---0.C-Pf'1
!!А8--,оd;.;м..0к,о,s1
aw
а,.,-
•� �tN.t:IOI
t"1
f.. .._.
1...J.cti
'"""-..u-oc-
,..
..:.
о�
Cllt1QP
оса
UOS-
alr.»
t,.N,Qt()II
а.а
""""'tmR ••
t,IN01o,t
C!l'llllt
arnnv
U'DIW
�O'll
аР\С'Р'
c:otCJt
UN()tM
�•11
f�tfl
CN'IIIOltl"
W'Ч21'-"
�[111,
0•
Qai,
�
1,111)
оа
li«-
,.•н11�•u Wal
W.Ortfi
,�
)1'2:f/}t
-_,,,
1�!,JQ»:S,
сх "
CIIЯl'lf
CDttt
_,,.
_,
...,..,
(Al'l('\l(JA
005
w,-i1an1:HQJ
,:;,
01
....
-.... ...
,.,..
"'"
.,,,
"""'
,,.,
..,,,
,.,,,,,,
.,,
-...
-
....""
...
..,..
,..,
....
,о,
,о,ос
no<
••
Рис. 3.46. Изменение параметров различнw.х ком�онентов
uы в Ехсе\ и после редактирования вставлять обратно. Причем
стоит отмстить, что здесь же возможно и добавить новый пара­
метр, используя кнопку Add Columп.
3.1 О. Многолистовые и многоканальные
проекты
3.10.1. Реализация связанности на разных уровнях
иерархии
При разработке радиоэлектронных средств на этапе электриче­
ских принuипиальных схем очень часто используются иерархиче­
ские и многоканальные структуры. Схемотехники используют
мноrолистовые проекты по различным причинам, в первую оче­
редь из-за размеров схем; некоторые проекты просто слишком бо­
льшие или сложные, чтобы разместить их на одном листе. Даже
если проект не сложен, возможны другие преимушества реализа­
ции проекта на нескольких листах. Например, проект може т
включать элементы различных функuиональных модулей. Орrани­
заuия этих модулей как самостоятельных схем может позволить
нескольким инженерам работать на,, проектом в одно и то же
время. Друтой причиной может быть, возможность использования
малоформатных принтеров, таких, как лазерный принтер.
В uелом, в Altium Designer имеется два решения по выполнеструктурные отношения
нию каждого мноrолистовоrо проекта
152
3.10. Многолистовые и многоканальные проекты
11w1• :.•:,.......-or-.�...-���:"'Y8'
;.:1;Jlu� • :11
• ;,,,а..1
��� .........
+ ,�,,.,,. •• ✓ n,W:•A••·? . ., .•.
•
,J>•.2
:)• •х
..... .,,,._1
,.
··2-с.-:-, J
a-,-w,t,..
.....,.,.,,,...,
�....,_�s.,i...
,.._..,,,.
......
,,,..f'U(I,....
�.A,Cll,jl..,.._1,..,...,
··­
•-.
...�"к«
...... l\a......_,,;,c,
• ._ �-l'UCIOC
....._�юос
с.,..,._
Рис. 3.17. Пример для панели List
между листами и использование связности между фрагментами
схе:.1ы на этих листах. Конкретный nыбор будет варьнроваться в
зависимости от размера и типа каждого проекта и индивидуаль­
ных предпочтений пользователя.
Единственным способом ·передачи сигналов мсжлу листами
разного уровня является использование идентификаторов, кото­
рые создают логические соединения между элементами схем про­
ще, чем это лелают физические соединения (проводники). Иден­
тификаторы могут использонаться в пределах одного листа, как
показано на рис. 3.48, но основное их предназ11а•1сние - это рса­
лизания глобальной связи внутри всего проекта.
-
L·w:
'w.
R
- '!ill'
Рис. 3.18. Примеры идентификаторов
153
Глава З. Разработка электрических принципиальных схем
Рисунок 3.48 показывает, как мoryr быть реализованы провод­
ники с помощью обычных цепей, меток цепей, портов и силовых
портов, соответственно. Все эти объекты объединены общим на­
званием идентификаторов и будут подробно рассматриваться в
этой главе.
Рисунки 3.49а и 3.496 иллюстрируют частое заблуждение разра­
ботчиков, что идентификаторы раз.личных типов (такие как метки
цепей и порты), имеющие одинаковые имена, будут логичесю1
связаны. Фактически это не так: различные типы идентификато­
ров могут иметь разные имена, но при э1·ом со�динятъся вместе
для формирования единой цепи.
INTA )}
INTд...-.-)(.__.,
_.,.._
а
i
Рис. 3.49
6
Идентификаторы различного типа мoryr быть физически сое­
динены на одном лнсте, но при этом ни по однему из них визуа­
льно нельзя сказать, каку ю связанН()СТЬ он имеет в многолистовом
проекте. Как реализуется межлистовая связанность зависит от
идентификаторов, используемых в проекте, и установленных огра­
ничений действия этих и.1ентификаторов.
В Altium Designer для реализаuии связанности на разных уров­
нях иерархии используется несколько видов идентификаторов,
каждый из которых имеет свое конкретное предназначение. Са­
мые простые идентификаторы являются метками цепи. Их пер­
вичной функuией является отображение функционального назна­
чения соединений проводников на листе. В некоторых случаях,
метi(И цепей можно использовать не только как локальные соеди­
нения, но и для межлистовой связи.
Порты, аналогично меткам uепей, мoryr быть использованы
ilЛЯ соединения непей локально в одно,1 документе. Но, в отличие
от меток цепей. порты изначально предназначены для межлисто­
вых соединений. Они могут реализовывать связанность по гори­
зонта.rш или по вертикали. Горизuнl'альность является широко
нрименяе:\-юй фуг1КJ!f�й. ·гак как ою1 не чувствительна к мноrоли1:,.:1
3.10. Многолистовые и многоканальные проекты
стовой структуре и соединяет одноименные порты на всей схеме в
единую цепь. Вертикальные соединения более управляемы, так
как они соединяют цепи только между подчиненными и родитель­
ским листами. В�1есто соединения портов, вертикальные соедине­
ния выполняются между портом на подчиненном листе и входом
листа символа на родительском документа. Этот вход листа дол­
жен быть размещен в пределах символа листа со ссылкой на опре­
деленный подчиненный лист. Таким образом, связанность по вер­
тикали используется д,1я соединения листов на разных уровнях
иерархии.
Таблица 3.3
Идентификаторы в Altium Designer
Соединение по вертикали; если используется
совместно с портами или символами листа, то
1
e . l,
1 ГN-�La6f
Метка цепи
применимы либо в рамках иерархии, либо
1
внутри документа. По rориэонrали объединяют
1
�.---------+--------1-все
__
цеп_и_с_ о--'ди_ н_ ак_о_в_ым
_ _и _ме_тха_м_и_.-----1,
Соединение
по
вертикали;
используется для
1!
i\
перехода к входу листа на главном символе и как
обычные метки внутри иерархии, либо внутри
Port
д()l(}'Мента. По горизонтали соединяет все
Порт
11
одноименные порты проекта, либо порты внутри
[
укаэанноrо документа (в зависимости от
настроек).
1,
1
� E:-iO Entr}1
r:
1
_l_
; г-H--т-c(.-o_
!
1 e---.et
ffS
[±]
I1L____
1·
1
1
!,
1
�
;, г--<
,
�
Вход на лист
Всегда соединяется вертикально вниз к порту
указанном на символе подчиненного писта
схемы.
-----�-----------------11
Ме:клистовой
соединитель
С
•
Горизонтальное соединение одноименных
межлистовых соединителей внутри группы схем
на одном уровне иерархии.
Соединяет глобально все одноименные силовые
""'"" "' ""' """" """"""·
1 Соединяет глобально все невидимые выводы
1
евидимый вывод проекта с цепями, имена которых указаны в поле
:
L
ect to.
_
J
�"'"ор' _Conn
l..�����--� _
Межлистовыс соединители обеспечивают среднее звено, позво­
ляя создавать горизонтальные связи между выбранной группой
листов в пределах проекта. Способом группирования этих листов
155
Глава З. Разработка электрических принципиальных схем
является размещение множества имен листов в поле Filename од­
ного символа листа, разделенных точкой с запятой. Межлистовые
соединители для этих сигналов нужно размещать так, чтобы они
находились между этими группами листов. Одноименные меЖJiи­
стовые соединители будуг соединены только в пределах листов,
сгруппированных вместе на символе родительского листа. Если на
символе листа представлен только один подчиненный лист, то его
межлистовые соединители не будуг соединены с однотипными со­
единителями, которые могут существовать в другом месте проекта.
Силовые порты нс чувствительны к структуре проекта и соеди­
няют одноименные силовые порты на всех связанных листах (на­
пример, порты питания и земли).
Невидимые выводы могут быть введены для символа в библио­
теке и подключены к определенной uепи посредством установки
значений в поле Connect to (в диалоге Pin Properties). При импор­
те проекта из Protel 99 SE или более ранней версии, любые неви­
димые выводы будуг иметь имя цепи, размещенное в этом поле
автоматически.
Для получения более подробной информации о метках uепей,
портах, входах листов, межлистовых соединителях и силовых пор­
тах наведите курсор на идентификатор и нажмите клавишу F I при
работе с редактором схем.
По умол•�анию, все новые проекты плат в Altium Designer испо­
льзуют автоматическое определение установок для ограничения
действия идентификаторов.
Если при компиляции будет обнаружен хотя бы один вход лис­
та, представленный в разработанной схеме проекта, то автомати­
чески будут выбраны иерархические ограничения для вертикаль­
ной связности. Метки цепей и порты будут использованы для ло­
кальной связи в пределах одного листа (как обычно), но порты
будут иметь только межлистовые связи, если размещены соответ­
ствующие входы листа на символе в главном листе. Когда заданы
иерархические ограничения, порты и метки uепи не создают логи­
ческой связности с одноименными идентификаторами цепей на
других листах проекта.
Если схема проекта содержит порты, но отсутствуют входы лис­
та, то автоматически устанавливается глобальное ограничение для
портов. Это означает, что порты получат горизонтальное подклю­
чение во всем проекте, не принимая во внимание мноrолистовую
1,56
3.10. Многолисmовые и многоканальные проекты
структуру, и объединят одноименные порты одной uепью. Метки
uепей будут при этом создавать только локальные соединения.
Если схема проекта не содержит ни входов листа, ни портов, то
автоматическое определение повысит статус меток uепей до глоба­
льного.
Межлистовыс соединители, силовые порты и специальные не­
видимые выводы имеют постоянное назначение и область дейст­
вия независимо от ограничений идентификаторов.
Altium Designer предоставляет полный контроль над ограниче­
ниями идентификаторов цепей (Nct ldentifier Scope). Контроль
возможен на вкладке Options доступной в диалоге Options for Pro­
ject, вызываемом последовательным выполнением Project>Project
Option. Настройки на вкладке Options можно использовать для из­
менения автоматических установок и определения ограничений
идентификаторов в структуре всего проекта, независимо от его со­
держимого. Контроль предполагает ограничения, при которых
идентификаторы - метки µспи и порты получат определенный
тип связанности на всем проекте (глобальный или локальный).
С точки зрения структуры, все многолистовые проекты органи­
зованы иерархически, даже если иерархия имеет всего два уровня
(например, верхний лист, содержащий символы листов, которые
ссылаются на подчиненные листы нижнего уровня). При этом
проект может быть реализован либо одноуровневой, либо иерархи­
ческой структурой. Основным различием между ними является то,
что иерархические проекты переключают сигналы между листами,
соответствующими созданной структуре, в то время как одноуров­
невые проекты не предполагают структурную организацию листов.
Важно отметить, что при проектировании проекта ПЛИС, ис­
пользуемая модель связности обязательно должна быть иерархиче­
ской.
3.10.2. Создание многолистовых проектов без иерархии
Мноrолистовые проекты могут быть созданы как· одноуровне­
вые проекты без листа высшего уровня. Если разрабатываемый
проект не иерархический, например, все листы схем находятся на
одном и том же уровне, без символов листов, ссылающихся на
подчиненные листы, то проект называется одноуровневым проек­
том. В этом случае нет необходимости в листе высшего уровня,
который содержит только символы листов, ссылающиеся на схе­
мы. Одноуровневый проект, который содержит лист высшеrо
157
Глава 3. Разработка электрических принципиальных схем
А
.,
?.;..•
I>j:r, )';'{\
;Jttcт 1
1
---.4-l,I���
�
JJ11cт 2
,.
Рис. 3.50. Одноуровневый мноzоАистовой проект
уровня, будет корректно компилироваться, но Altium Desig­
ner предоставляет возможность построения проекта без верхнего
листа, по необходимости. Реализаuия такого проекта показана на
рисунке 3.50, и она наиболее подходит для оформления неслож­
ных проектов согласно требоnаниям ГОСТ.
Для такой реализации создастся файл проекта, внутри которого
создаются листы схемы. По завершении отрисовки схем необходи­
мо выполнить компиляцию, и на этом этапе следует строго задать
область действия иде11тификаторов, т. е. меток испей и шин. Для
этого в окне Project> �rojcct Options на вкладке Options в выпада­
ющем списке Net ldentifier Scope следует выбрать вариант Global
(Nctlabel and Ports Global), после чеrо все метки uепей становятся
глобальными JШ всех листах проекта. Чтобы оформить такой про­
ект по ГОСТ можно сделать эти метки невидимыми (формально в
программе такой опции нет, но можно выбрать цвет - близкий к
цвету фона, что будет не раЗJiичимо при печати), а поверх них на­
писать стандартные надписи «AI (лист 2)>). Здесь n�и оформлении
158
3.10. Многолистовые и многоканальные проекты
может быть использовано несколько подходов, а такая реализация
nроекта является наиболее простой.
з.10.3. Создание иерархических проектов
Следующие четыре примера показывают, как определять или
выбирать ограничения идентификаторов, которые будуr оказывать
воз действие на связанность одинаковых меток цепей и портов в
нерархической структуре. В названии примера указана настройка
ограничения действия ил.ентификаторов, устанавливаемая в спис­
ке Net Identificr Scopc на вкладке Options, доступной в диалоге
Options for Project вызываемом последовательным выполнением
Projcct> Project Option.
Схемный проект на примере 1 будет автоматически определен
как иерархический, так как здесь имеют место входы листа в сим­
волах листов на главной схеме.
Метки цепей CI и С2 на каждом подчиненно:..t листе не будуr
подключены к соответствующим меткам цепей на другом листе, но
будуr действовать в пределах границ каждого листа. Порты в этом
примере имеют различные имена, но даже если бы их имена совпаRightSide
Ri 1t Side.schdoc
LeftSide
Lefl Side.SchDoc
c=;Gj larScIOoc •
�flфSdoS<Юcc•
GltellS<!e.ScIOot•
JIP-L
Top.SchDoc
( НР-L
С\
Cl
С2
С2
CI
С2
Ме11<И цеnсй
локальнь1е
вну�рн каждого
J!HCТil
,.___t_S_id_
.._________ Le_fl_S_i_de_S_
. c_hD_o_c__, '--R--'i·gh
- _,
e S._c_hD_l)_c ________
Рис. 3.51. Пример 1 - Нierarchical (Иерархический)
159
Глава 3. Разработка электрических принципиальных схем
дали, они не создавали бы горизонтальные связи с одноимснны�н,
портами других листов из-за ограничений идентификаторов дан.
ноrо типа иерархии. Поэтому эти порты создадут только вертика.
льное соединение схемы вверх к родительскому листу. Чтобы вь1•
полнить соединение порт на подчиненном листе должен иметь о,1.
ноименный вход листа внутри соответствующего символа листа.
В этом примере элементы входа на главном листе подключены
к разным листам (еще раз отметим, что их имена не одинаковы_
это физическое подключение, а не логическое). В структурно про­
работанном проекте эти сигналы прокладываются к портам и
сравниваются по входам с другим символом листа на родитель­
ском листе.
Для реализаuии связи в этом проекте (пример 2) совместно с
отсутствием входов листов используется варрант автоматического
назна•�ения глобальных портов.
Это особенность одноуровневого проекта, так как порты яnля­
ются связанными, они получают логические связи со всеми одно­
именными портами на всех листах проекта. Метки цепей не под­
держивают такую возможность, они будут локальными на каждом
отдельном листе схемы.
□□
Lcll Side
-
lq>S</i>oc.
��v,Sd<S�oc·
L.«So,ScIOoc·
Rigl1й:;ide
Tc,p.ScllDoc
�--------, JIP-L
'HP-R '·--------�
Cl
С2
Cl
С2
3
Cl
С2
М=, цene,i
2
ЛО1,."а.'IЬНЬ1е
tty1p11 IQЩДОГО
sп1ста
Lefl Side.SchDoc Rigbl Si<lc.Sclu)oc
Рис. 3.52. Пример 2 - Flat (Глобальнwе порты)
�-------:--:--=----,:----се' '----'�-.,....-------,------1
160
3.10. Многолистовые и многоканальные проекть,
Так как этот проект одноуровневый, верхний лист можно уда­
лнть из проекта и он, тем не менее, будет корректно откомпили­
рован. Отметим, что Altium Designer использует файл проекта для
определения количества листов в проекте, что является важным
свойством понятия проект и необходимым для одноуровневых
разработок с ограничением идентификаторов типа Flat.
Этот проект (пример 3) не имеет элементов входов листов и
портов. Это единственный случай, в котором метки цепей автома­
ти•1сски создают глобальное подключение на всех схемах мноrоли­
стовоrо проекта. Эти метки цепей будут связаны с одноименными
метками цепей в проекте независимо от ero структуры.
Опять же, так как этот проект одноуровневый, то верхний лист
можно удалить из проекта и проект будет корректно откомпили­
рован.
Следующий вариант (пример 4) недоступен при автоматиче­
ском определении иерархии, но может быть применен в Altium
Dcsigner для поддержки наследуемых проектов.
В нем как метки цепей, так и порты получают rлобальнЪiе свя­
зи по горизонтали с соответствующими идентификаторами в про­
екте. Единственным путем достижения этого типа связности явля-
□ ,�,.,;��м]
LeftSide
Lcll Side.SchDoc
Е"
1ар Scl()oc •
GI Rv, s.» S<Юоо •
uoSide.SdCoc:•
Rigl1t Side
Top.ScllDoc
CI
Меткнцепей
соед� о-1ень1
глобально
Lcll Side.SchDoc
сз
2
LR_igh=--t Si__d_e._Sc_hD_oc_____�_· __,
Рис. 3.53. Пример 3 - Automatic (Глобальные метки цепей)
6 зак. 32
161
Глава 3. Разработка электричес1шх принципиальных схем
F1
,..,ScIOoc·
� � Sodo.ScIOot.
GI l..el!SdoScIOcc •
□ □
LcttSide
Left Side SchDoc
Rigl1t Side
Top.SchDoc
' НР·R '
CI
С2
Cl
-
С2
3
МС11а1 цепей н
nop11>1
с�ди11ены
ГJJобально
Lcft Side.SchDoJ 1Rigl11. Side.SchDoc
-
Рис. 3.54. Пример 4 - Global (Глобальные метки цепей и портов)
ется просмотр вкладки Option в диалоге Options for Projects и из­
менение Net ldentificr Scopc на Globa\ (Netlabcls and ports global).
Так как это одноуроnнеnый проект, верхний лист может быть
удален из проекта и проект,. тем не менее, будет корректно отком­
пилирован (файл проекта сам по себе содержит информацию о со­
ставе исхо.пных документов, фор�ирую щих проект, как и в преды­
дущих двух примерах). Именно такой пример был описан в пре­
дьщущей главе.
Рассмотрим пример созда�-шя простейшего иерархического
проекта. Проекты, имеющие нес колько уровней иерархии, могут
быть созданы двумя способами: сверху вниз или снизу вверх. Либо
сначала создается главный лист схемы, на нем размещаются листьt
подсхем, а затем формируются сами подсхемы, либо наоборот.
Для реализации таких проектов в редакторе схем используется це­
лая группа команд в меню Design (рис. 3.55):
• Create Sheet From Sheet Symbol - создать схему из симво ла
подчиненного листа;
• Create HDL File From Sheet SymЬol - создать файл HDL из
символа по.пчиненноrо листа;
162
3. 10. Многолистовые и многоканальные проекты
QeSl\11
n
1
1
krods !!_eports � !:1е\>
�owse LiЬro-y...
W AddfRemove J..Ь-о-у ...
�Scherмtlcl.h11ry
MJLl<e lnt�..ted l,.t,,o-y
!emplato
•
t.;eOst For ProJect
►
Ntl.IOst For OoCU'nenl:
►
�е
►
Ct,ede 9>eet Fn:,m Sl1eet Syтn/)ol
ueate i:µ. Fole From Sheet SymЬol
►
Cr....te 5t,eet S� From Sneet о, 1-()L
Czeьte С� From Sheet
1
RerwneQ-.dsi-t .•.
SyndYt-nae �.et Eлtrles ам eo,ts
Doarnent QpUons ...
Рис. 3.55. Команды для создания иерархии в проекте
• Create Sheet SymЬol From Shect or HDL - создать символ
подчиненного листа из схемы или файла HDL;
• Create Соmропе·пt From Sheet - создать компонент из листа
схемы
• Rename Child Sheet - переименовать подчиненную схему че­
рез ее символьное изображение на главной схеме;
• Synchronize Sheet Entries апd Ports - синхронизировать име­
на портов и имена входов символа листа.
Наиболее удобным здесь считается подход, когда сначала со­
здаются листы нижнего уровня, а потом их символы размещаются
на верхнем уровне иерархии. При таком подходе, внутри одного
проекта создаются несколько схем, после чего, находясь на глав­
ной схеме, выполняется команда Design>Create Sheet Symbol From
Sheet or HDL. Результатом этой команды является предложение
разместить символ одной из схем, расположенных в текущем про­
екте. Размещенный символ уже содержит выходы, которые имеют
соответствующие порты на схемах. В дальнейшем остается лишь
создат!=> линии связей между листами.
Стоит помнить, что при такой рсализаuии область действия
идентификаторов выбирается Hierarchical (Net Jdentifier Scopc на
вкладке Options, доступной в диалоге Options for Project).
б•
163
Глава З. Разработка электрических принципиальных схем
3.10.4. Создание многоканальности в проекте
Более интересной является ситуаuия, когда один и тот же блок
схемы повторяется на плате в виде нескольких каналов. Для реали­
зации таких каналов в Altium Designer имеется специальный инст­
рументарий, позволяющий копировать размещение, топологию и
другие оформительские элементы между одинаковыми ячейками.
После размещения на главном листе схемы символа подчинен­
ного листа, нужно задать параметр, который будет определять ко­
личество таких каналов на плате. Для этого заходим в свойства
символа листа, который должен повторяться на плате, и в поле
Designator вводим команду Repeat(CIN,1,8). Эта команда означает,
что данный канал на плате будет повторен восемь раз.
Dtscnptiori: Repcat(CIN,1,8)
;Repcat(q�Ц,8). _ · ·
In ot chanнcl.ScЬDoc
LEFТ
RIOIП
Repcat(Нcadphonc)
М:Вl
МВl
Head° hone Head onc: (.8
Effccts
Monitor
Рис. 3.56. Многоканальн/Jlй блок
На рисунке 3.56 показано отображение такого блока, множест­
венное отображение которого появляется после компиляuии про­
екта. Синтаксис, использованный для применения оператора Re•
peat в поле Designator (позиционное обозначение) символа листа
имеет форму:
Rереаt(Описание, Первый канал, Количество),
где Описание - является базовым именем канала. Рисунок 56 ил­
люстрирует использование оператора Repeat для применения во­
сьми входных каналов для аудио миксера.
Кроме повторяющихся блоков при реализации многоканаль­
ных проектов могут использоваться повторяющиеся выводы на
листе и повторяющиеся цепи. Например, если на схеме имеется
164
3.11. Навигация в многолистовом проекте
шина, содержащая 8 цепей, и каждая цепь этой шины должна
быть заведена в один из каналов. Для этого в названии вывода ли­
ста пишется команда Repeat(Headphone), которая говорит о том,
ч то вывод Headphone у каждого канал свой! Т. е. в данной реали­
зации (см. рис. 3.56), из шины Hcadphone[ 1 .. 8] выходят цепи He­
adphonc 1 в первый канал, Headphone2 - во второй и т. д. В целом
создание многоканальности не вызывает больших сложностей, но
при этом несет много вспомогательной информаuии, позволяю­
щей автоматизировать процесс проектирования платы с многока­
нальными участками.
При отладке многоканального или иерархического проекта
удобно использовать опцию \�):U'\ - Tools>Up/Down Hierarchy,
которая позволяет переходить вверх вниз по иерархии. Запустив
эту команду и выбрав порт на схеме, будет показана ответная
часть, при выборе символа листа - будет открыт сам лист, и т. д.
З. 11. Навигация в многолистовом проекте
По завершении разработки схем проекта, нет необходимости
генерировать список цепей и выполнять перекрестный контроль
каждой цепи вручную. После компиляции вся информация о свя­
занности отображается в панели Navigator (рис. 3.57), которая
упрощает работу над проектом.
Панель Navigator занимает центральное место в орrанизаuии
связности в проекте. Верхняя рамка организует листы проекта
тремя способами: в виде перечня компилированных листов, в юще
плоской иерархии и как структурное дерево. После компиляции
мноrолистового проекта, нужно немедленно проверить дерево,
чтобы убедиться в корректном определении структуры с помощью
символов листов.
Следующие две рамки в панели перечисляют компоненты и
цепи/шины в проекте. Они также представлены в виде дерева, по­
скольку символ листа может содержать множество входов на лист,
компоненты могут содержать множество параметров, моделей и
выводов. Таким же образом, логическая шина будет разветвляться
на цепи, которые входят в ее структуру.
Содержимое последней рамки панели зависит от двух факто­
ров: наблюдаемых объектов и объектов, которые установлены для
165
Глава 3. Разработка электрических принципиальных схем
отображения. Главный пере­
•rень объектов для отображения
с,....,.,.,,.
, ..�- P,if'CВ
может быть доступен с поr.ю­
Ci1 ON6 Р� chomel.SthDoc:I
щью выпадающих опций, ря­
I Ci1 CIN7 РГ9J! c:nc,,mScIOocl
I Gil Cl/18 prp.t chomel.S<Юocl
дом с кнопкой lnteractive Navi­
1 � COUT1 (O,Jp<J chom<iScli)oc\
1
gation, можете нажать правую
G1 COUT2 [0щ,u c:h.>rr.ef.Sc:Юoc\
1
(1 EffECTS (EИк•._SthDoc)
клавишу мыши на панели и ак­
НР��с:Юосj
тивировать или дезактивиро­
I
МONITOR IM...-o SthDoc:1
{.1 POWER 1"'- Sct-Docl
вать их индивидуально через
lnstance
Carmenl
подменю Show.
1�
@!
(21
При выборе листа в верхней
Con-c,onont
lt1i.)C43
'l2pf
1N914
1Б O D1
Сощ,оnе,,1
рамке - нижняя рамка пере­
IN914
0 () (12
Соп_...-1
числит ее порты. При выборе
Ht<Oфhone
eon-­
0 0 J7
ВСЗ38-25
13 {) 01
Componen/
компонентов во второй рам­
�
ке - нижняя секция предста­
вит данные о ero выводах. От­
кройте дерево для этого компо­
нента и нажмите на одном из
ero выводов, и в нижней сек­
ции увидите этот и все другие
выводы и метки это� же цепи.
Такой же результат, вы получи­
те при нажатии на любой шине
или цепи в третьей рамке.
Каждая рамка панели (каж­
дая секция) будет переключать­
Рис. 3.57. Панель Naviga/or
ся на соответствующий элемент
в ее списке, когда просматриваемый объект имеет к ней отношение. При этом стоит отметить,
что обновляется рабочая область. При каждом нажатии левой кла­
виши мыши на объекте в панели Navigator будет появляться отфи­
льтрованный объект, представляя визуальный результат, который
определяется коэффициентом опций подсветки. Эти и описанные
ниже опции могут быть доступны, и установлены либо из выnада­
юшеrо меню, рядом с кнопкой lnteractive Navigation, либо на стра­
нице System>Navigation диалога DXP>Preferences (см. рис. 3.58).
• Zooming (Масштабирование) - при включении, отфильтро­
ванные объекты будут масштабироваться и центрироваться
(если это возможно) в главном окне проекта. Масштабиро­
вание - масштаб будет установлен средствами панели
�r,I.
166
3.11. Навигация в многолистовом проекте
О- here the rnothXl5 uиd to tr,Jiф. o-�olcЬ),!ts o.,r,o
,_.itan. т...,.. optlons •• ured 6.,w,o noYl!)IЬarl, ,nd....,.,.
e�roo cl'f"ences ь.,,_, с!ос,.,_;_, о, сощ,1,w п-е.._.
OЬIO<l•la�
С1оои here lhe ol>Je<t• ta ct.i,14,,
1n lho �., p...,r,
0Pros
0z­
O�
ei�
Occr-r.cь..Gtl!'h
e)Not l,t,,i,
0Po,ts
R)si._[ttnos
0 51...с Co-n>ctc,f
lr><I.Jdt-"'-P"ts
!39-tSy,.ьot.
Zocm rr.,,,_,
0GrfV8:ollns
The --- � tortra. 1-cw dosely the sy,tem ""1 ,oom
r.to to �ed c,Ьjocts ..._ uw,g tte 'loo!n' � melhod
fft
I
t
t
•
t
t
f
r
1
1
1
CJose
Рис. 3:58. Настройки панели Navigation
(Zoom Precision) или интерактивно внуrри документа проек­
та - с помощью скользящей панели (ползунка). Увеличение
масштаба достигается перемещением ползунка вправо (мас­
штабирование не применимо, когда uелсвым объектом явля­
ется порт и изменение производится в файле HDL).
• Selecting (Выделение) - при включении отфильтрованные
объекты в рабочей области будут выделены, т. е. после этого
к ним можно будет применить команды редактирования.
• Masking (Маскировка) - при включении данной опuии, все
не отфильтрованные объекты будут показаны в затененном
виде.
• Connective Graph (Графы связей) - активаuия этой опuии
отображает связность между объектами (в главном окне про­
екта активного документа). Визуально такая связность имеет
зеленый uвет при просмотре компонентов и красный при
просмотре цепей. Активируйте дополнительную опuию lnc­
lude Power Parts, если также необходимо иметь графы связ­
ности силовых объектов.
Рисунок 3.59 показывает пример графа связности, при про­
смотре объектов uепей (шины, цепи, вывода, линии, проводника
или метки uепи). Эта связь отображается линие-й красного uneтa,
167
Глава 3. Разработка электрических принципиальных схем
с,о
Рис. 3.59. Пример графа связности при ·просмотре цепи в панели
Navigator
показывая все объекты цепи связанные цепью, выбранной в пане­
ли Navigator для отображения. Если линия является сплошной, то
это означает, что выводы имеют физическую связь, соответствен­
но они мoryr иметь логическую связь, которая отображается то­
чечной линией.
Рисунок 3.60 показывает пример графа связности при просмот­
ре компонентов. Графы связи компонентов отображаются зеленой
+J5\T
.,.
U1 2
R1Б
LМЗЗЗМ
00
С24
'270pF
Рис. 3.60. Пример графа связност и при прос мотре компонента
в панели Navigator
168
3.11. Навигация в многолистовом проекте
линией, показывающей все компоненты, непосредственно под­
ключенные к компоненту, который выделен в панели Navigator.
Инструментарий навигаuии также применим к многолистовым _
структурам. Навигаuия по шине или uепи будет использовать OJJ"'
ш1и подсветки на всех листах, где они обнаружены. В том слу,tае,
сели в текущем окне проекта активен один документ, эти связи на
других листах просмотреть не просто; для этого удобно выбрать
другой вариант расположения рабочих окон в npoeкte, например,
в виде черепиuы (Window>Tile).
Для более подробной информаuии о панели Navigator, нажмите
FI, пока курсор находится на данной панели.
В верхней части панели Navigator есть кнопка Interactive Navi­
gation, нажатие на которой переключит вид курсора на приuель­
ное перекрестье в активном документе схемы. Это предоставит
пространственную альтернативу логического списка, представлен­
ного на самой панели. Выберите uепь в схеме для выделения всех
объектов цепи, выберите порт для мгновенного перехода на выход
листа, к которому он подключен и т. д. Содержимое панели Navi­
gator будет обновлено в соответствии с выбранными в данном ре­
жиме объектами. Курсор останется в режиме навигаuии до нажа­
тия правой клавиши мыши или нажатии клавиши ESC.
IJJ.itl
Рекомендуется использовать комаНду
Up/Down Hierarchy,
доступную в стандартной панели инструментов для навигации по
иерархии проекта. При выборе входа символа листа, будет показан
одноименный порт на подчиненный листе, выборе символа листа,
будет показан подчиненный лист полностью. Для навиrаuии вверх
по такой иерархии, выберите порт, после чего будет показан ссы­
лающийся на него выход листа на подчиненном листе.
Иерархия может быть просмотрена двойным щелчком мыши, с
зажатой Ctrl, на порте, входе в лист или символе листа.
Так как проектирование платы является процессом существен­
но зависимым от схемы (необходимо много перемещений назад и
вперед по проекту от схемы к плате и наоборот), панель Navigator
позволяет переключаться между документами схем и плат. Пред­
положим, открыта плата и схема одного проекта, удерживая кла­
вишу AJt выберем объект в панели Navigator - при этом будут ис­
пользоваться одинаковые опции выделения над объектами схемы
и соответствующими объектами плать1.
169
Глава 3. Разработка электрических принципиальных схем
Можно заметить, что переключение с помощью панели Naviga­
tor незначительно отличается от использования перекрестных
команд такого же назначения с помощью меню Tools (Cross Pro­
be). Эти команды доступны как в редакторе схем, так и редакторе
плат, в то время как инструментарий панели Navigator спроекти­
рован изначально для схемной части проекта.
3. 12. Создание дифференциальных пар
и правил проектирования
При разработке схемы инженер имеет возможность формиро­
вать специальные знаки (которые не выводятся на печать), позво­
ляющие задать дополнительную информацию, которые вытекают
их схемотехнических особенностей реализации схемы. Эти знаки
размешаются посредством подменю Place> Directives, и в первую
очередь сюда можно отнести знаки, перечисленные в таблице 3.4.
ТабАица 3.4
Метки дополнительных параметров на схеме
-
-
-
Пиктоrрамма
Название
ком анды
КI)Net Clas5
РСВ L.ayout
Установка правил проектирования, размещается
на цепи и 111ины
НJ)PCBRttld
Net Cfasses
Формирование классов цепей, размешается на цепи
Differential Pair 1
Формирование дифференциальных пар, размешается
на цепи
1,,�1
Описание
Рассмотрим примеры использование дополнительных парамеt­
ров, перечисленных в таблице 3.4. Для формирования дифферен­
циальной пары должны быть выполнены два условия:
1. Двум цепям необходимо присвоить одинаковые имена, отли­
чающиеся лишь индексами _N - негативный сигнал, _Р - пози­
тивный сигнал (см. рис. 3.61- цепи DRV_N и DRV_P);
2. На uепи, образующие дифференuиалъную пару необходимо
разместить метку Placc> Directives> Differential Pair.
Для формирования классов цепей нужно также выполнить два
условия, например, стоит задача, на схеме объединить все силовые
цепи и землю в виде класса Power:
170
3.12. Создание дифференциальных пар и правил проентирования
IIO (ГRD
II
DRV Р
Rl·
�
165
,�
J/O (D4), Ll9
I/O, VREF 3, L19
I/O, L20P_
1/O,U0N_
1/0, L21P_
J/O (D5), L21N_
R3
140
4·
DRV N R
165
Рис. 3.61. Создание дифференциаАьных пар
1. На цепи, образующие описанный класс устанавливаются
метки Place>Directives> Net Classes;
2. При установке первой метки необходимо нажать клавишу
ТаЬ и в свойствах параметра указать названия будущего класса.
Лри этом в появившемся окне (рис. 3.62), в списке имеется одна
строка, под названием ClassName, и для формирования названия
класса нужно зайти в свойства этой строки и в поле Valuc ввести
название. Чтобы отличать добаменныс метки на поле схемы, ре-
+l.5V
1 ...._,
1j 11.-.- (r®§tj==:J
1'-00 .,,...с..._.._' ____• YI.DC- lc:..3111,:_____...,
м-
со
х-1.оео1....
Loc\od
о
J
I
.J �v
l
J,co
+15V
GND
•
c·
1(
. Net_Cla.c;�@I'
-l.5V
ОС)�
Рис. 3.62. Создание классов цепей
171
Глава З. Разработка электрических принципиальных схем
}'('l)J:101<
J �п..:�,_, DJO. ;) •
I
г
�
Рис. 3.63. Создание прави.11 проектирования
комендуется в свойствах параметра в поле Namc, добавить отли­
чительный префикс (например, NctClass Power, см. рис. 3.62).
Немного сложнее обстоят дела с формированием правил про­
ектирования. Например, чтобы создать правило, оrраничиваюшее
толщину группы цепей, сформированных в обшую шину, необхо­
димо после установки метки Place>Directives> РСВ Layout зайти в
ее свойства и проделать действия, показанные на рисунке 3.63.
После нажатия кнопки Edit Rule Values будет предложено вы­
брать одно из правил проектирования, которые будут рассмотрен
позднее (гл. 4.6).
Стоит отметить, что вес описанные метки имеют общую приро­
ду, т. е. это один и тот же объект (Parameters), имеющий разные
названия и свойства. Таким образом, при формировании с помо­
щью меток классов цепей и дифференциальных пар можно внутри
этих же меток формировать прави.1а, которые будут относиться к
созданным классам и дифференциальным парам.
3. 13. Особенности импорта и экспорта схем
Одно из наиболее крупных достижений разработчиков Altium
Designer - это возможности программы по трансляции докумен­
тов внешних систем. Так в Altium Designer можно открыть разра172
3.13. Особенности импорта и экспорта схем
ботки схем, плат и библиотек, выполненные во всех смежных сис­
т емах проектирования плат. Для этого используется специальная
команда Files>lmport Wizard. Среди до<-'Т)'Пных для трансляции ти­
пов файлов перечислены: Prote\99, Allegro РСВ, Cadstar, Circuit­
Maker, DxDesigner, OrCAD, PADs и естественно P-CAD. Для со­
хранения схемы доступно гораздо мене форматов. Чтобы сохра­
нить схему, нужно выполнить команду File>Save Project As
(Мноrие пользователи ошибочно пытаются найти эту возмож­
ность в File>Save As... ). Рассмотрим наиболее востребованные в
отечественной практике возможности трансляции схемы в форма­
ты DXF(DWG) и в P-CAD200X.
З.13.1. Импорт/Экспорт в формат AutoCAD
Чтобы открыть в редакторе схем AJtium Designer файл, ранее
созданный в механической САПР и сохраненный в формате
DXF(DWG), выполняется команда File>Import. На экране предла­
гается выбрать файл в формате DXF(DWG), причем стоит по­
мнить, не все версии этих файлов открываются в Altium Designer.
Самая подходящая для этого версия DXF(DWG) -AutoCAD 2000.
Стоит помнить, что в программе AutoCAD более старшей версии,
всеrда можно сохранить файл в формате ранних версий. Этот мо­
мент стоит помнить при конвертации графики в A\tium Designer и
заранее подrотавливать файлы. Если вы случайно будете импорти­
ровать DXF(DWG) друrих версий, который не воспринимается в
Altium, то будет выдано сообщение (рис. 3.64).
frrur
�
t>,l,00.rтro\Z(XН.dwg' ci>esnat eJJ!t or 1s ni:t н/lklllцoCADНe
Рис. 3.64. Ошибка при импорте из AutoCAD
Если версия файла AutoCAD подходит для импорта, то на экра­
не будет показано сообщение (рис. 3.65), в котором предлагается
задать дополнительные настройки импорта.
Здесь имеется возможность выбрать вариант импорта в виде
компонента (lmport as Component) или в виде примитивов (Jmport
as Primitiv�s). Далее указаны размеры линий разных толщин и в
последнем окне задается коэффициент масштабирования. При
173
Глава 3. Разработка электрических принципиальных схем
[1J(RI
lrnport from AutoCAD·
Sоц,
'-----------�
Bkls
- - -
0lf!1X'��
0 tщx,n м ii<..-.tiYe•
r � to Ptote! Lne "Wdh M"l'Pl"\I lnwnl
srnalesl
:::::=========�
Smol
10.25-4
J0.508
Medo..m
11.G16
l«Qe
L s�
:::�------�
· =============::::
Greьiei 11m medun lne wdh
�,,_____
,
____.
ок
11 с-:,.
Рис. 3.65. Настройки импорта из AutoCAD
импорте графики в формате DXF(DWG), стоит помнить, что про­
изводится импорт не самого рисунка, всего листа, т. с. если лист
схемы меньше листа DXF, то первый будет увеличен до размеров
последнего.
Для сохранения схемы в формате AutoCAD, нужно выполнить
команду File>Save As, после чего в списке предлагаемых вариан­
тов сохранения выбрать Export AutoCAD Files. В результате на эк­
ране появляется окно (рис. 3.66), в котором выбирается версия
файла AutoCAD, формат сохранения (DXF или DWG) и единицы
измерения.
Export 10 AuloCAD
Рис. 3.66. Экспорт в AutoCAD
174
(1]�!
-
3.13. Особенности импорта и экспорта схем
Опuия lnclude Ternplate - добавляет форматку в экспортируе­
�1ый файл. После нажатия кнопки ОК появляется вопрос - к
чему применить экспорт, и имеется три варианта ответа:
• Just This Docurnent - только к текущему документу;
• All schematic documents in the current project - ко всем схе­
мам текущего проекта;
• All open schematic documents - ко всем открытым схемам.
з.13.2. Импорт/Экспорт схем из P-CAD200X
Для того, чтобы открыть схему, ранее созданную в
P-CAD2000-2006, выполняется команда File>lmport Wizard и на
втором шаге выбирается тип файлов P-CAD, а на третьем указы­
вается схема для импорта. То же самое окно появится при попыт­
ке открыть схему, созданную P-CAD, через меню File>Opcn. На­
ходясь в режиме мастера (P-CAD lmport Wizard) необходимо зада­
вать запрашиваемую информаuию и переходить к следующему
шагу кнопкой Next. После выбора схемы нажимаем Next, и будет
предложено выбрать библиотеки для импорта. Если библиотеки
не требуются, нажимаем Next, и после недолгого анализа появля­
ется окно, в котором спрашивается формат имен компонентов.
Этот вопрос связан с тем, что в библиотеках P-CAD было три
типа объектов (компоненты, патrсрны и символы), а в Altium то­
лько два, и программе <,не понятно>> какие имена использовать для
Footpriпt. Здесь рекомендуется оставить настройки по умол'lанию,
в этом случае Footpriлt будет иметь имя патrерна. После нажатия
Next будет предложено задать настройки ОТ'lета об импорте, кото­
рые можно проигнорировать, сняв все опuии (кроме Error). На
Zl..-18
1:?х 1
;J::�
т•;ом_
•
Zl.·21
1 $А1
1,х, 1
Рис. 3.67. Артефакты .на схеме при импорте из P-CAD
175
а
Глава 3. Разработка электрических принципильных схем
последующем шаге будет показана структура будушеrо проекта,
после чего выполняется импорт нажатием Next.
При импорте документа из P-CAD на схеме появляются неко­
торые артефакты, которые влияют на удобочитаемость схемы 11
складывается впечатление, что импорт прошел не успешно. На са­
мом деле это нс так, все артефакты связаны с некорректной реа­
лизацией проекта в P-CAD, и быстро решается в Altium Designcr.
Наиболее частыми являются следующие проблемы:
l. Местам.и утолщенные линии цепей и графики символов;
2. Появление точек у позиционных обозначений и других тек­
стов (см. рис. 3.67);
3. В более старых версиях вместо меток цепей появлялись пор­
ты и могли быть отсоединены порты питания, которые в
P-CAD создавались как компоненты.
Проблема №1 связана с тем, что в редакторе схем программы
P-CAD можно было задавать толшину линии в абсолютных еди­
ницах, а в Altium имеется возможность лишь выбрать из четырех
вариантов толщины. Поэтому, если в P-CAD использовались тол­
щины линий 0,2 и 0,254, то для Altium такие линии будут отлича­
ться по толщине в два раза. Эта проблема может быть связана с
цепями, которые были нарисованы линией по умолчанию (0,254)
или с компонентами, которые в библиотеках рисовались разными
линиями (неумышленно). Проблема решается редактированием
цепей в схеме и компонентов в библиотеке, с ттомошью глобаль­
ного редактирования (см. гл. 2.4 и гл. 3.9) и последуюшим обнов­
лением компонентов из библиотеки (см. гл. 3.6).
Проблема №2 - это точка, задающая привязку текста. В тех
надписях, которые создаются в самой программе, эта точка по
умолчанию скрыта, а в импортируемых документах она отобража­
ется. Чтобы скрыть эту точку, нужно с помощью Find Similar Ob­
ject выбрать все позиционные обозначения (Dcsignator) и в панели
Inspector включить опцию Autoposition. Аналогичная процедура
повторяется для других надписей, причем стоит помнить, что это
действие можно проделать сразу применительно ко всем надписям
во всех открытых документах!
Проблемы, связанные с использованием ранних версий Altium
Designer (ранее версии 6.6) решаются только путем ручного редак­
тирования схемы.
176
3.14. Дополнительные возможности реоактора схем
Для сохранения схемы в формате P-CAD2006 (сохранение в
ранних nсрсиях P-CAD нс возможно!) nыполняется команда
file>Save Project As, которая сохраняет D P-CAD не только вы­
бра нную cxe�ty, а все схемы проекта, с действующей иерархией.
З.14. Дополнительные возможности редактора
схем
в программе Altium Designer ыного ВСПО:\ЮП!ТС.'IЬНЫХ DОЗМОЖ­
ностсй, которые нс относятся к тому или иному из вышеописан­
ных разделов, но могут быть полезны пользователям. В данной
главе будут описаны те команды, которые, по мнению автора, мо­
гут быть востребованы при работе со схемным редактором.
Создание библиотеки по схеме
Создание библиотеки компонентов или интегрированной биб­
лиотеки по схеме. Для этого используются команды Dcsign>Sche­
matic Library и Design> 1 ntegrated Library.
Интеллектуальная вставка и вставка массивом (Smart Paste)
Часто при работе с различными редакторами программы AJtium
Designer возникает необходимость в копировании одинаковых
участков. При этом скопированный участок может быть вставлен
в документ N-ное количество раз. Кроме этого можно воспользо­
ваться интеллектуальной вставкой. Интеллектуальная вставка по­
зволяет копировать в буфер обмена объекты одного типа, а при
вставке из буфера поменять тип объектов на отличный от базово­
го. Например, при реализации ·многолистовых проектов в буфер
копируются выходы из листа верхнего уровня, а на лист нижнего
уровня из буфера вставляются цепи с портами и метками!
Для использования интеллектуальной вставки, после копирова­
ния объекта в буфер обмена, выполняется команда Edit>Smart
Paste, после чего на экране появляется одноименное окно (см.
РИС. 3.68).
В центральном списке можно указать в виде чего объект будет
вставлен из буфера, при этом обладая свойствами базового объек­
та. Если необходимо из буфера получить тот объект, который в
него был скопирован, то выбирается перnый вариант из списка
Themselves.
177
Глава З. Разработка электрических принципиальных схем
Базовый объект
с� �д_,fom.w,.:��
SatO< Designator
_______...__ 5.,,., FileName
f"1rdo,,1(i.ь:.,,dc.,,_.,
�-
Вставляемый объект
""'"'
-RD
-\VR
Pes1eЗShodEr1�a,pg,l1cn:frd4Ьdt ihc:etreccrlrdЬ\'
RESET
Рис. 3.68. Интеллектуальная вставка
При необходимости вставки в виде массива (Paste Аrгау), испо­
льзуется левая колонка настроек окна Smart Past. Здесь задаются
настройки, одинаковые для всех редакторов Altium Designer:
• Colнm11s
- Count - количество копий по горизонтали
- Spacing - шаг по горизонтали
• Rows - аналогичные параметры по вертикали
• Text lncrcmcnt - приращение к нумераuии выводов (компо­
нентов, цепей и т. д.).
При использовании вставки массивом стоит обратить внимание
на дnе особенности:
1. Если была выполнена операция копирования в буфер
(Ctrl+C), а затем неудачная вставка этого объекта, то необходим о
перед последующей вставкой снова копировать объект в буфер об­
мена. Если этого нс сделап,, то нумерания объектов будет продол­
жена не с тоrо номера, который был скопирован в буфер, а с уче­
том тех копий, попытка вставить которые была проделана. Т.е.
при повторной вставке объекта из буфера нумераuия начинается с
последнего вставленного объекта;
178
3.14. Дополнительные возможности редактора схем
2. При вставке массива в различных редакторах про гр аммы,
по-разному учитывается копируемый объект. Так, в библиотеке
символов при копировании вывода № 1, и вставке восьми копий,
будут добавлены выводы №2-9. В редакторе схем, при копирова­
нии uепи AI, и вставки вось�и копий, будут добавлены цепи
AI-A8! При этом если наложить вставляемый массив таким обра­
зом, что цепи А\ будут совмещены, старая цепь будет удалена.
Обрезка проводника (Break Wire)
Используйте команду Edit> Break Wire для разделения сегмента
проводника на две части. Эта команда доступна также из выпада­
ющего меню при нажатии ПК, когда курсор находится на провод­
нике.
1 •.•.•••. ]
На месте разрыва проводника появляется отображение прямо­
угольника выреза. Вырезаемая секция маскируется как показано
ниже. Длина выреза - это пространство между двумя сегментами.
Нажмите клавишу пробела для циклического обхода трех режимов
задания длины выреза (вырез сегмента, вырез нескольких элемен­
тов сетки или вырез фиксированной длины). Нажмите ТАВ для
установки фиксированной длины выреза или любую другую оп­
цию выреза. Нажатие ЛК заканчивает разрыв проводника.
Нажмите ПК или клавишу ESC для выхода из режима разрыва.
Опции разрыва проводника также можно установить на странице
Schematic> Break Wire диалога DXP> Preferences.
Редактирование нескольких проводников (Multitrace)
В редакторе схем поддерживается режим редактирования не­
скольких проводников одновременно. Если несколько параллель­
ных проводников имеют одинаковую координату окончаний, то
можно, выделив все эти проводники, нажать ЛК и перетаскивать
дЛЯ перемещения конец одной вершины, а концы вершин всех
у их выбранных проводников также будут перемещаться, сохра­
дрг
няя выравнивание.
Перетащите и отпустите одну вершину и все выровненные вер­
шины будт
у сдвинуты для сохранения выравнивания.
179
Глава 3. Разработка электрических принципиальных схем
V RX1N
V RX1P
--
'\,
-
-
-
V ТХ1Р
\/
���,
V RX1N
V RX1P
V ТХ1Р
V TX1N
1
3. 15. Получение выходной документации
Результатом разработки электрической принuипиальной схемы,
в российских условия, должны быть три пункrа:
1. Информаuия для разработки nе•�атной платы;
2. Чертеж схемы (Э3);
3. Перечень элементов (ПЭ3) и спеuификаuия*.
Информания для платы уже заложена в самой схеме, и будет пе­
редана в файл платы на одном из первых этапов проектирования
платы. Два других документа можно, с некоторыми исключения­
ми, получить в редакторе схем Altium Designer. *Спсuификаuия не
относится к схеме, и создается для сборки платы, но в Altium De­
signer этот документ формируется из редактора схем! Ero недоста­
ток состоит в том, что из Altium нельзя добавить в сnеuификаuию
механические детали, крепеж и другие подобные элементы.
3.15.1. Отчет Bill Of Materials - заготовка для перечня
и спецификации
Для получения информаuии об используемых компонентах
(ВОМ - Bill of Materials) выполняется команда Reports>Bill of
Materials, после •1cro появляется окно, показанное на рисунке 3.69.
180
3.15. Получение выходной документации
... �f•ProJICl{4Puntetlol--.l'lts>al)tltoPCII-�
'"
�
• M.-U.a..
C\L (17
Р�
J1
,__.....
(1u'tJt_4L tЬС(
•CI)
r.....,,
С14
12
м
,�•nt
(..._.,,.,-,d
cor,91.ьor1·,,/fl',c
C-t1f'tfR6r9
...
с-,,0...
.,.
RI
R2
с.-,,
lmй1�R1(D
.. .sl\Jl..:,t.111
CIМQll)j!f>AC
Cl3J&CS\OISGAC
j''?�,
• н._. .. � .. J_, • Vct..,.
,·о,
':11"!
[СА-1ННG100
1/,o:JI�
)!l!.a;,-11:r,o
21'.::�lб.W(DCO�
2�Zбl:>S()'. о,-,
111'1
�f
1С
1:tZ/2б�0O< �,-,
ШР/1vt0(�4"Ч,,4(\�
t:� V) u•
т-1,.,,... )r.f(ICМi
S2'
U1
r
• �
r....-s� 1N._'l'\4
[)1 02
-..,..,.°"'""''
--­
�
., Nr<locW
1 01
1 01
3
1 01
1
�/tt<о[�4:Ъ..Ю!
,_._,.
),О(.�
u,;uтua,u, 1--.. wc,an,
J..,._� 11fflAL;>.1V1ti10C
,�.,,,...,...,.,, �H1•.r-Cf
Групш,ров�,:а
Q�r•.,....,r.._D-,aьu,.. Qlrd.d.P•..,...f�PCI
[IJ(
(..w,ii
Рис. 3.69. Создание отчета Bill о/ Malerials
Лля использования данной возможности, требуется иметь пра­
нильно оформленные библиотеки компонентов. У каждого компо ­
нента должна быть введена информация, олисываюшая вес его
свойства. На каждом предприятии свои рекомендации по оформ­
лению параметров компонентов, но в целом сеть довольно строгие
рекомендации, которые не входят в рамки данной книги. В поя­
в1111шемся окне нужно выбрать необходимые ю1я отчета параметры
n списки AII Columns, 11 выбрать nара!11етр JlЛЯ rруппировк11, nерс­
\1сстив cro n список Grouped Columns.
В отличие от программы P-CAD, в которой данный отчет фор­
р
ми уется только в виде текстового файла, здесь имеется выбрать
оди н из нескольких форматов (*.csv, *.xls, *.pdf, *.txt, *.html). Лри
АЫборе сохранения отчета в 1щ1е таблицы Excel (*.xls) имеется
nоз!\южносп, подключить шаблон. В программе Altium Dcsigner
11�1еется набор обшссвропейских шаблонов, и по аналогии можно
сфор�1ировать шаблон по отечественным стандартам. Для сохра­
нения от'!ета необходимо нажать кнопку Export, и если была
8J<Лючена опция Opcn Exported, то после формирования файл от­
чета будет открыт для просмотра.
181
Глава 3. Разработка электрических принципиальных схем
З. 15.2. Печать схемы
Для печати документаuии в Altium удобно создавать спсциа.1ь.
ный файл настроек печати, n котором хранится информаuия, 0
том какие документы должны выволиться на печать, выбор пр11•
1-пера, формата и т. д.
Создадим настройки печати коl\lандой File> Ncw>Outp11t Job
File. Созданный файл представляет собой таблицу (рис. 3.70), в
которой строки представляют каждый отпельный выходной файл,
для которого можно выбрать результат вывода (принтер, pdf 11
т. д. - справа).
-� ...
р ....
"'-О',,.. ---
0-,
J_ ... ,, .... ..:.�.f;.1..1
ь
)WI ..... OUD.,�
Рис. 3.70. Настройки вывода на печать
По столбпам таблиuы задается следующая информаI.Lия:
1. Name - название выходного документа;
2. Data Source - исходный файл, для которого выводится документ;
3. Output Dcscription - описание;
4. Yariant - вариант документа (о вариантах см. документаuию);
5. EnaЫed - вывод на печать.
Для добавления нonoro чертежа, нужно нажать на строку <<Add
New Documentation Output,>, после чего из контекстного меню вы­
брать нужный тип чертежа. Если проект содержит только схемы,
то соответственно для выбора будет только печать чертежа схемы.
Если необходимо не выводить на печать некоторые объекты схе­
мы, то нужно задать дополнительные настройки, для чего нужно
зайти в свойства настройки печати.
182
Глава 4
Разработка печатных плат
Редактор печатных плат Altium Designer является самым важ­
ным из редакторов, ведь вся работа n других редакторах ведется
ради одной конечной цели - файлов для производства платы! Ес­
тественно в российских условиях кроме технолоrичсских файлов
необходимо получить полный комплект конструкторской доку­
ментации.
4. 1 . Создание файла платы
Начнем работу с редактором плат с формирования нового фай­
ла платы. Предполагается, что на предыдущих этапах была создана
и успешно откомпилирована принци­
пиальная схема, и необходимо в теку­
щий проект добавить новый файл пла­
ты, для последующей передачи на него
� Sdierмlк тoщ,l.strs...
информации из схемы. Создания ново­
-РСВ Pro,кts.. .
го файла платы может быть выполнено .;!FPGA Projeds...
двумя способами, во-первых, с помо­
д Cart Pro�...
EimeddedProjects. ..
щью мастера, во-вторых, вручную. Рас­
• РС8 Doll<d 'r'llla,d...
смотрим создание платы с помощью
••fl!!PCВ!!8'11!Pr�ol!ill
��.,..
каждоrо из указанных способов.
Для начала создадим плату для дан- X:20491&т1nY19202.c.m. G,кto2'54rml !Ele(
Рис. 4./. Запуск.
ного проекта с помошью мастера пе­
мастера создания
чат ных плат, для чего выберем коман­
печатных плат
ду РСВ Board Wizard в панели File
(рис. 4.1).
Появившийся мастер PCB·Board Wizard по этапам запрашивает
информацию о печатной плате, которая потом выразится в виде
1<онструктивных параметров и правил проектирования. Для про­
должения в появившемся окне нажмем кнопку Next. В следующем
окне будет предложено выбрать систему единиц измерения, в на­
Wем случае это метрическая система мер. Нажмем кнопку Next.
далее будет предложен список существующих шаблонов стандарт­
ных промышленных печатных плат, но так как у нас нет шаблона,
183
Глава 4. Разработка печатных плат
Choose Во111d Deteis
Ouir1eS/\,,pe:
Q""""'ionl- [мес....,rосеlщ,е, 1
0Rect•"9'.М!
B0\11dary !reck Wdh о.з 1111\
ос..,..,.
Otus1�
Board Size.
�dh
.!:!ei,;t,I
127.0 rrrn
JIJ1Snwn
о
j
\"']
Dimernion !,ine Wdh О.3 rrrn
Ji:oep О,А D,:tar,ce 1.3 f1'fl\
From Board Е*
1 0 т .ie Block .rd Scae
0 leoer,d Sьtn11
10Dmer,w,u,es
О Comer CLAoll
0 lme, C..Юlf
Рис. 4.2. Мастер создания печатных плат
выбираем Custom (пустой бланк). При этом п.оявилось окно, в ко­
тором нужн о за дат1, форму и размеры будушей платы (рис. 4.2).
В правой части данного окна задается толщина линий прорисовки
граниuы платы (Bot1ndary Track Width) и размеров (Dimension Line
Width), а та1<же отступ от края платы (Keepout Distance From Board
Edge).
Следующее окно предложит выбрать число сигнальных слоев, а
также внутр енних слоев питания и заземления. Наша плата будет
и.меть только два сигнальных слоя, поэтому в поле Signal Layers
следует ввести число 2, а в поле Power Planes - число О. Для про­
должения нажмем кнопку Next. Далее следует определить тип пе­
реходных отверстий. Так как мы проектируем простую двухсто­
роннюю плату, то выберем тип Thru-lюle Yias (сквозные переход­
ные отверстия). Заметим, что система Altium Designer позволяет
использовать на многослойных платах слепые и глухие переход­
ньIС отверстия, в том числе и по технологии Microvia. Для исполь­
зов ания слепых и глухих переходов в текушсм окне следует вы­
брать опш110 Blind and Buricr Vias Only.
В следующем окне необходимо выбрать преобладающую техно­
лоrи ю монтажа компонентов (поверхностный или монтаж в отвер184
4.1. Создание файла платы
Выбор разuеров трасс н переходных отверстий
at-6eptrf� wн1111,1аnьн.ые ,tt4чe,;КR AnA uai-pwttы nрооо;:,,,к«о.
;z,,,1N�P8 кn • n,epex.. отщстм111. �JO� м�-;l,У rp,osc;:,..,.-.a..,м
1,\ож'4 nо•сок neoex. ота.
1 О rrrn
-...........,рпере• отв. 06...,,
+
1j)
::r::
Рис. 4.3. Мастер создания печатных плат
( русифицированный интерфейс)
стия). При выборе опuии Tl1ru-hole components (преобладает мон­
таж в отверстия) ниже указывается допустимое число проволников
межлу смежными контактными плошадками. Если была выбрана
опuия Surface-mount eomponcnts (преоблалает поверхностный
монтаж), ниже указывается разрешено или нет двустороннее раз­
мешение SМD-компонентов. На следующем шаге от нас требуется
задать минимально допустимые размеры объектов на печатной
плате, которые будут преобразованы мастером в правила проекти­
рования (рис. 4.3).
Здесь задаются минимально допустимые: ширина проводника
(Тrack Size), диаметр плошадки переходного отверстиs� (Yia Width),
диаметр переходного отверстия (Via Hole Size) и зазор между про­
водниками (Clearaпce). Для простоты, оставим эти значения за­
данными по умолчанию. Нажмем кнопку Next.
Последнее диалоговое окно сообщает, что создание заготовки
платы завершено. Если необходимо внести какие-либо корректи­
вы в заданные значения, то с помошью ю-юпки Back можно вер1-!уться в нужное окно. В противном случае нажмем кнопку Finish.
После описанных действий откроется файл платы созданного
цrаблона с базовым количеством настроек. Описанный мастер соз185
Глава 4. Разработка печатных плат
дания печатных плат удобен дЛЯ быстрого создания плат имеющ11х
не сложный контур, а также дЛЯ стандартизованных плат, которь,е
ранее были созданы в виде заготовок и сохранены в папку
Templates.
Наиболее часто создание нового файла выполняется вручнУJо,
для этого используется команда File>New>PCB. Новый файл до­
бавляется структуру открытого проекта, и его следует сохранить
для чего нажимаем на названии вновь созданного файла в панел�
Projects и выполняем команду Save. Если при создании нового
файла платы не был открыт ни один из ранее созданных проектов,
то после сохранения файлы нужно открыть проект и перетащ ить
плату в структуру проекта с помощью стандартного действия drag
and drop.
4.2. Настройка редактора плат
Если файл платы был создан вручную, то в строке состояния
текущие единицы измерения будуr показаны милы, т. е. прежде
чем приступить к разработке платы, нужно задать пользователь­
ские настройки. В редакторе печатных плат все настройки можно
разделить на три части:
l. Локальные настройки текущего документа.
2. Глобальные настройки редактора.
3. Настройки отображения.
Локальные настройки были описаны ранее в главе 2.3.1, при
описании настроек редактора библиотек посадочных мест, поэто­
му здесь будуr описаны только оставшиеся настройки.
4.2. 1. Глобальные настройки редактора плат
Глобальные настройки задаются в окне DXP>Preferences>PCB
Editor. Рассмотрим данные настройки по группам.
General
Группа настроек Editing Options. Online DRC - эта опuия про­
веряет правила проектирования в режиме реального времени и ав­
томатически указывает появившиеся ошибки. Набор правил, про­
веряемых в оперативном режиме, задастся на вкладке On-line диа­
логового окна Design Rules Check, вызываемого командой Tools>
Design Rules Check (см. гл. 4.6.).
186
4.2. Настройка редактора плат
Sпар То Ce11ter - при uключении данной опции при выделении
и перемещении по •1сртежу какого-либо объекта (например, кон­
тактной площадк11 11л11 переходного отверстия) указатель мыши
захватывает центр 11,1ощuдк11 или переходного отверстия. При пе­
рс�1сщею1и сегмента проводника указатель мыши захватывает
ближайший его конен. При выключенной ош1ии объект будет
v,1ерживаться в текушс:-1 положении курсора.
, DouЫe Click Run lnspector - данная опция позволяет запускать
панель l11spector двойным щс.1чком левой кнопки на выбранном
кочпонснте, в противном случае это действ11е открывает окно
свойств объекта.
Rcmovc Duplicates - включение этой опцнн инициирует в мо­
мент формирования выходных файлов выполнение дополнитель­
ной специальной процедуры, проверяющей наличие дублирован­
н1,1х примит1:1вов, после чего они будут удалены с чертежа.
Confirm Global Edit - эта опuия предписывает системе при вы­
полнении операции глобального редактирования выводить диало­
говое окно, сообщающее о количестве изменяемых объектов и
даюшее возможность отменить действие в случае возникновения
ошибки.
Protect Locked Objects - при включении этой опции заблоки­
рованные объекты нс могуr быть перемещены. Данная опция иг­
норируется при перемещении группы объектов, некоторые из ко­
торых заблокированы.
Click Clears Sclektion - при включении данной опции нажатие
левой кнопки на любом месте снимает выделение с выделенного
объекта.
Shift Click То Select - данная опция предпагает выделять объ­
екты только с нажатием клавиши Shift, причем можно указать тип
объектов, которые будут выделяться только при нажатой клавише
Shift.
Sma11 Track End. Данная опция включает привязку линии связи
к концу проложенного проводника (при незавершенной трасси­
роnкс), n противно�1 случае линия связи будет проложена по крат­
чайшему пути.
Группа Autopan Options (автоматическое панорамирование).
Style - опuия управляет перемещением. просматриваемой об­
ласти •1ертсжа вслед за указателем мыши, когда он имеет вид пере­
крестия, т. е. находится в режиме выполнения ко:-.tанды. В выпа­
дающем списке имеется шесть опций:
187
Глава 4. Разработка печатных плат
Re Center - перемешает центр экрана в место касания курсо­
ром края окна. При этом позиuия курсора на плате сохраняется.
Fixed Size Jump - перемещает просматриваемую область на
расстояние, указанное в поле Step Size. При удержании клавищ11
SHIFГ размер шага определяется полем Shift Step Size
Shift Accelcrate - перемешает просматриваемую область на рас­
стояние, указанное в поле Step Size. При удержании клавищи
SHIFГ. размер шага плавно изменяется до максимального значе­
ния, определяемого полем Shift Step Size.
Shift Decelerate - перемещает просматриваемую область на
расстояние, указанное в поле Shift Step Size. При удержании кла­
виши SHIFГ размер шага плавно изменяется до значения, опреде­
ляемого полем Step Size.
Ballistic - скорость перемещения просматриваемой области оп­
ределяется расстоянием курсора no границы окна редактора при
его перемещении за этой границей.
Adaptive - скорость перемещения просматриваемой области
задается в мил/сек или в пиксел/сек.
Step Size. Определяет расстояние, на которое должна перемес­
титься просматриваемая область при касании курсором края окна
редактора. Вводимое значение отражается в текущих единиuах из­
мерения.
Shift Step Sizc. Определяет расстояние, на -которое должна пере­
меститься просматриваемая область при касании курсором края
окна и удержании клавиши SHIFI. Вводимое. значение отражается
в текущих единицах измерения.
Кроме рассмотренных групп настроек на вкладке General име­
ется еще несколько полезных опций. Так в группе Other можно
задать следующие параметры:
• Undo/Redo - количес�:во команд хранящихся в памяти, до­
ступные для отката. При работе с полигонами не рекоменду­
ется ставить большое значение;
• Rotation Stcp - угол поворота при нажатии комбинации
Shift+R;
• Cursor Style - стиль курсора во время выполнения команд;
• Сотр Drag - режим перемещения компонента (Edit>Mo­
vc>Component), с трассами или с обрывом цепей.
Настройка Polygin Repour определяет параметры автоматиче­
ской перезаливки полигонов;
Metric Display Precision - точность отображения координат и
размеров;
188
4.2. Настройка редактора плат
Past from other application ·_ определяет вид текста вставленно­
го из внешних приложений, при выборе параметра Text, вставка
напрямую из Microsoft Word будет создавать новое текстовое поле.
Display
На данной вкладке перечислены команды, позволяющие задать
настройки отображения объектов в разных режимах (рис. 4.4).
Pnl-
.----------------------•? Х
1!Cl�ern
l!Jt:1: CIF!'I.A
CorЬd
G
---­
�·•o.1----
=::---РСВfсй,
о
.eo.,dь-...,tt,_
L85o8d�lens
8tN:eroo.lY'eR�
8T«.81)08"f(ll"(:J:
___ еа-1...,....,
�POIL'9«"1)0
•.,,.....Cd<n
;эaт...
tEdtw,
Dlcc.ЧQ.w.
�..... с.-0� .....
Ou..11otc,ь,,,...,...,.
1,.,н.-- .1
E!]u.a-• ,:,ош,1<
i;a .... ,.,,_,_.,,,._
..
о,... ,,........,н,,.. __
Ou.. o.do<..i--..," 1D
,
□ -.a-t,,t.,;i1(......
0-t<мir.n-.o--t.OЬ>o
e:J,,.;,tt,t..;, t\n",gW•- [dlro
e]o,.,.�n:L
:::=°"""=====:::::=�
1<"""'
Dr-'t v,r...tcus ,.;-.., ,а 1,8"1QO,...()
''"°' ff
-F
1
8CJSo"'-...........
8CJc»lt:dш
o.fdPOV.W-CP/-ol.,_.
DcdIOLЬ-,,v....Cod911..-,..
waw...
P012D(Atun-.tIO
POILЬlD :AЬASI....S0,d2D
Ю,31) J,ь,,,ЗОР<d
ЭQ,___
:,tt.J-.1.,.,.,
]
РСВ Edltor - Display
"'а1!) а.- s,.,,.,.
PCJfLtlJD AЬ.m30Flll!d
€
.,,_
Рис. 4.4. Вкладка Display - настройки
отображения и подсветки
Группа DirectX Options задает параметры попдсржки возможно­
стей DirectX, что позволяет значительно увеличить скорость обра­
ботки многослойных плат и делает доступным работу в трехмер­
ном режиме.
Группа Highlight Options задает параметры подсветки примити­
вов в режиме фильтрации и трассировки. Здесь наиболее дейст­
венными опциями, которые сл�ует включить (по мнению авто­
ра), являются следующие:
189
Глава 4. Разработка печатных плат
• Highlight in Full - при включении данной опции выделен.
ные объекты целиком подсвечиваются устаноnленным цве­
том выделения. В противном случае у выделенного объекта
подсвечиваются только контуры;
• Apply Mask During Interactive Editing - применение маски в
режиме редактирования и трассировки;
• Apply Highlight During Interactive Editing - применение под­
светки в режиме редактирования и трассировки; Параметрь1
маски и подсветки задаются в отдельном окне, которое вы­
зывается кнопкой Mask Level в левом нижнем углу редактора
(рекомендации будут даны ниже).
Кроме этого здесь задаются конфигурации просмотра платы и
библиотеки посадочных мест в 2D- и ЗD-режимах (Default РСВ
(РСВ Library) View Configuшtions).
В группе ЗD Bodies включается просмотр трехмерных моделей,
Simple ЗD Bodies - модель в виде вытянутого контура, Step
Model - модель в формате STEP.
Кнопка Layer ,Drawing Order - позволяет задать порядок про­
рисовки слоев в обычном режиме работы с платой.
Кнопка в виде rиперссылки Jump to Active View Configuration позволяет более подробно задать настройки отображения, и отсы­
лает к отдельному окну настроек, которое удобнее вызывать непо­
средственно из редактора. Эти настройки ранее были отнесена к
третьей группе настроек, они будут рассмотрены ниже.
Board lnsight Display
Дополнительные настройки отображения, среди которых такие
как опuии подписи переходных отверстий и контактных плоша­
док, uвет этих надписей и т. д. Менять эти настройки не стоит, их
значения по умолчание достаточны.
Board Insight Modes
Здесь задаются параметры информаuионноrо окна, которое по
умолчанию пристыковано к левому верхнему уrлу редактора плат.
Здесь может отображаться большой набор всевозможной информа­
uии, который в настройках по умолчанию весьма избыточен. Ин­
формационное окно делится на две части, некоторая информация
отображается в окне постоянно, а некоторая только после останов­
ки курсора над объектом (uепь, компонент, нарушение и т. д.). Оп190
....
4.2. Настройка редактора плат
-�­
• g:;:.,
а,_
• CI.,._.,,..""
.,_
•-.tir.v,_
., t,1'tertк1nCcrClol
l?)Кllfdt"
___
...........
U
РСВ Editor - Board Inslght Modes
�
l?J--Uo-
�
________,
IJ
...,..,,ьо-.
-\JoDoo<-or
0\wВ��См!
_с_,
0- ... P--\IQO...Oogn
--·-=----,
а-~-•-wо.а.в..,..
......
.,11...
---Cc<l<P­
_
f)1)8fitrц
•oJм.
__ ·"·о .....с ,,_ .....-''" ·� -.....о
.,,.
с
...
•
о
во
о
•
по оо .,,,,
...
-,... §
о о °"""...
о
....
...
...-....
....о.....
Cid:e
God
.1�1--..tf.d&ett
l-:-1:1s.,c,u,os-,,,.,.
. с,,-.,.,_
�а-­
.��
•::2'41'....
J
J
�
-Uo
v.ь..,..._,_
Рн
s..
д,./
1U
,....
'!,
10
в...
�
1
Bold
.,
.,
с:,ь
1
8
.,,.
8
и...
1
Рис. 4.5. Вкладка Воагd Jnsight Modes - настройки
всплывающей информации
uия Display Heads Up lnformation - включает информаuионнос
окно (в режиме работы это делается нажатием Shift+H). В таблице
ниже перечислены наборы информационных строк, которые могут
отображаться в информационном окне, а столбцы Hcads Up 11
Hover, определяют какие строки будут постоянно отображаться на
экране, а какие будут появляться при остановке курсора. Рекомен­
дуется установить настройки, как показано на рисунке 4.5, в этом
случае, при движении курсора в окне показаны: координата курсо­
ра, приращение курсора и шаг сетки, а после остановки будут по­
казаны дополнительно: о·писание о нарушении, цепи II ко:-.шонен­
тс, на котором остановлен курсор. Дополнительные настройки ин­
туитивно понятны.
Board Insight Lens
Настройки параметров линзы, которая пр11нязана к верхнему
левому углу редактора и показывает в увеличенном виде фрагмент
платы под курсором.
191
Глава 4. Разработка печатных плат
Interactive Routing
Настройки интерактивной трассировки. Здесь rpyпne Routing
Conflict Resolution зал.астся режим огибания конфликтных прспят�
ствий при интерактивной трассировки, используются следующие
технологии:
1. No11e (lgnore) - игнорировать конф.1икты, в этом случае не
учитываются правила проектирования,
2. Push Conflicti11g Object - позволяющая расталкивать уже су­
ществующие трассы и переходные отверстия,
3. Walkaround Co11flicting Object - располагает трассы макси­
мально близко к существующим,
4. Hug and Push - уплотняет существующую топологию вновь
про1<Ладываемой дорожкой.
В группе Dragging определяется режим редактирования тополо­
гии.
Intcractivc Routing Options - дополнительные настройки трас­
сировки.
Resist to 90/45. Включение данной опuии ограничивает возмож­
ности прокладки проводников только под утлом кратным 45 гра­
дусов (т. е. исключаются режимы произвольного угла и режим ду­
rообразноrо проводника).
Automatically Rcmove Loops. При включении этой�пuии систе­
ма автоматически удаляет с чертежа платы замкнутые и продубли­
рованные петли проводников, остамяя минимальный.
В rpynпe Interactivc Routiпg Width/Via ... (рис. 4.6) указывается,
какое значение использовать для толщины проводника и диаметра
переходного отверстия при трассировке.
=-�
rie<etCrvt' flow-g W
/ v.,. Sce s�_.
0Pickщi Т,� \lfdhF,omEl<islnJ AO<tes
Trю;llldhMDdo
у.,. See Mode
/A\Je Prtl�red
FavorЬ. lrier<ldмl RDh"'
у.,,
9)
s;;__j
Рис. 4.6. Параметры толщины трассы и переходного отверстия
В обоих случаях имеется возможность указать значения:
• User Choice - змается пользователем, т. е. каждый раз пе­
ред трассировкой дорожки нужно контролировать эти пара�
метры, что совсем не удобно;
192
4.2. Настройка редактора плат
• Rule Minimum - минимальное значение из правил;
• Rule Preferred - рекомендуемое значение из правил;
• Rulc Maximum - максимальное значение из правил.
Здесь очевидно использование варианта Rule Preferred. Ниже
11 меются кнопки Favorite lntcractive ... , по которым можно задать
р.яд типономиналов толщин дорожек и список переходных отвер­
стий, которые можно будет переключать во время трассировке
внуrри диапазона, указанного для каждой конкретной цепи.
True Types Font
Данная настройка позволяет заменять не распознанные объем­
ные шрифты, на шрифт указанный в окне Substitution Font. Дан­
ная опция удобна при работе с платами, импорn1рованными из
других систем проектирования или от других разработчиков.
Reports
Здесь указывается, в каком формате будут сформированы все­
возможные файлы отчетов, а также указывается, какие отчеты
нужно показывать на экране сразу после формирования. Рекомен­
дуется в столбце Show снять все галки, а в столбце Generate поста­
вить галки только напротив отчетов в формате *.txt.
Не описанные группы опций Mouse Whccl Configuration и
Dcfaults аналогичны таким же опциям в редакторе схем, Layers
Colors - предлагает выбрать одну из стандартных цветовых гамм,
а Models - указать папки с моделями STEP.
4.2.2. Настройки отображения
Настройки отображения задаются в окне View Configuration
(рис. 4.7), которое вызывается нажатием клавиши L в редакторе
плат. Здесь, слева представлены доступны конфигурации отобра­
жения для 2D и 3D режимов, для каждого из которых можно уста­
новить свои настройки (Использование режима определяется на­
стройкой DXP>Pret>Display, см. рис. 4.4).
При выборе настроек обычного (двухмерного) режима, на­
стройки разбиты на три вкладки. На вкладке Board Layers and
Colors, которая открывается по умолчанию, можно изменять цвета
дЛя слоев, а так же включать и выключать видимость слоев, для
чего используются кнопки в виде гиперссылок (см. рис. 4.7).
Поля, расположенные на вкладке Show/Hide (просмотр объек­
тов) устанавливают режим отображения для различных типов объ7 зак. 32
193
-
ф
�
QI
CD
QI
r::::�
�
�
�
�L,...(Si
;ю
3)
3)
D
3)
тас,1...,.. rп
Воаа,,ц,о, (81
�
3
:
м-.с.�1&
;�
�
:--i
l!]O�i♦-loye,1n'-•'""­
д10n l'IOU UsodlJn
о
i::,
;,,;
i::
о
з
о
11>
:t
i::
E,.,i,,,eF­
Dac.-
�
в
A8unSt...iord20
ДОСУ)mп.1е t,;OJJфlll")'J'IЩIDI
10n
AIO/f
UsedOn
�
eJ
00ny "-ltlonet ini.,... tlacl.
ДI On д1 Otl Us,d 0n
Е1 � ���в�•�'J.m"c
� IOL' t.ь si.,.,;J с,,,.-raa, r,,
��t"
Otil D•....-.g
м..-..�. .,...
дlOn
дlOlf
2)
Р-]
UledO"
S•loc'o,•
v�� G,d 1
Vr.-i>ieGnd2
р_,н.,..,
v"н....
H�Col,r
вОО'd1..nос.ь
�
Act .....
о
S8V'8 � coriQ.l'ation
Q..,dAtuCdor
Shoetl..noCciol
C,eete � VIOIN COf"l9-1�1CJn "
s,-Ar"Cdo!
Se-..e А.а� corlv,,:.i°'
WOll<io- Si.t Cciol
\llc,,!:_,. End Color
Lo,d ..... td'iq.11ЬD1t ...
AIOn
R,.,.,,,.,,..., tor(91-,
R.....,,, w,w co,-19-1,;.,,,___
2!)Cdo,P,c/lo,
L...,..P.,,,
дll-•On
д1 L,yo,tOII
Ui•clllt!'"'•On
Ю1опх11 в1,,:,11..•вь� c:notв
QI
l!]Ony i♦-.,,,.t,,ed tnochr,oi/L-•
д10n AIO!f U,edOn
AIOlt
S-ed�tOn
UuodOn
3
:ж
Coh
Show
1�
�
=
S*c:!odL.,,..,O�
о�
,..,.."'
�
с�
0,,.,дlL-•
чn<el
Alq<
11"
)(
4.2. Настройка редактора плат
ектов на чертеже печатной платы. Пользователь может установить
один из трех режимов: Final, в котором любой объект отображает­
ся непрозрачным; Draft, в котором отображаются только контуры
объектов; и Hidden, когда 9бъект не прорисовывается вообще. Ре­
жим отображения можно установить для каждого типа объекта в
о тдельности или для всех объектов сразу одновременно с помо­
щью набора кнопок All.
Опuии, расположенные на вклалкс Vicw Options управляют ре­
жимом отображения номеров и имен uепсй контактных площа­
док, имен uепсй переходных отверстий, контрольных точек, мар­
кера начала координат и строки состояния. Здесь же включается
параметр Convert Special String - конвертаuия спеuиальных тек­
стовых строк, выбирается вид однослойного режима и включается
отображение слоев защитной маски.
При выборе настроек отображения просмотра 3D режима, име­
ется возможность указать uвет различных элементов платы, и дру­
гих, менее значимых объектов.
4.2.3. Управление слоями
Как и во всех аналогичных САПР в редакторе плат AJtium
Designer работа. ведется по слоям. Для управления отображения
слоев используется окно, показанное на рисунке 4.7, которое вы­
зывается командой главного меню Dcsign> Board Layers&Colors.
Все слое в данном окне разбиты на группы по функuионально­
му назначению:
• Signal Layers - сигнальные слои, предназначены для форми­
рования рисунка топологии печатной платы. Всего проект
многослойной печатной платы может содержать до 32-х сиг­
нальных слоев;
• Intcmal Layers - экранные· слои, предназначены для выпол­
нения проводников в виде металлизированных полигонов
(земли и питания). Отображение информаuии на экранных
слоях инверсное. Всего на плате может быть задействовано
до 16 слоев питания и земли.
• Mechanical Layers - механические слои общего назначения
для размещения на них элементов сборки, обозначений раз­
меров, контура печатной платы, форматки чертежа и др (все­
го 16 слоев). Состав и свойства механических слоев, исполь­
зуемых в проекте, настраиваются в диалоге. Перед тем, как
7'
195
Глава 4. Разработка печатных плат
использовать механический слой, его нужно активировать.
Для этого следует выполнить действия:
l. Отключить активность опuии Only show enaЫed mechanical
layers (Показывать только активные механические слои);
2. В поле ЕnаЫе установить активность необходимого числа .ме­
ханических слоев;
3. Активировать опuию Only show enaЫed mechanical layers: п-ри
этом в диалоговом окне, остается видимым список только активи­
зированных слоев.
Видимость механического слоя (а так же и всех других слоев)
назначается/отключается в поле Show. При активированной оп­
uии Linked to Sheet объекты, размещенные на механическом слое,
подключаются к листу проекта и могут делаться видимыми или
невидимыми при управлении видимостью листа проекта.
Кроме указанных слоев, которые в программе P-CAD именова­
лись Signal, Plane и Non-Signal соответственно, в отдельные груп­
пы выделены следующие слои:
• Mask Layers - слои паяльных паст и защитных масок. Тор
Solder и Bottom Solder - слои защитных масок на верхней и
нижней сторонах платы; Тор Paste и Bottom Paste слои тра­
фаретов для нанесения припайной пасты на верхнюю и
нижнюю сторону платы;
• Silkscrcen Layers - слои шелкографии. "Fop Overlay и Bottom
Overlay верхний и нижний слои шелкографии (маркировки и
обозначения контуров компонентов);
• Other Layers - дополнительные слои, информация с которых
может быть использована при производстве и требует особых
ограничений, что требует отличения этих слоев от других
групп:
Drill Guide - слой uентров отверстий;
Keep-Out Layer - слой для размещения ограничительных кон­
туров трассировки (зоны запрета);
Drill Drawing - слой сверловки;
Multi-Layer - слой для размещения контактных площадок и
переходных отверстий многослойных печатных плат.
Кроме управления свойствами слоев, в диалоговом окне,
рис. 4. 7, представлены функции управления цветом и видимостью
целого ряда объектов, не принадлежащих определенным слоям, но
являющихся принадлежностью проекта: сеток (VisiЫe Grid 1 и
196
4.2. Настройка редактора плат
YisiЫc Grid 2), линий электрической сnязи (Connections), марке­
ров ошибок проверки (DRC Error M.irkcr), отnерстий контактных
л.1 01шuюк (Pad Holcs), отnсрст11й переходных отnерспtй (Yia
Holcs) 11 др.
Под каждой группой слоеn имеются кнопки уnрамения видимостью слоями, n nиде гиперссылок:
• All On - включить все слои группы;
• All Off - выключить вес слои группы;
• Used On - включить только те слои группы на которых со­
держится информаш1я.
В нижней части окна редактора печатных плат можно наблю­
дать несколько вкладок, соотnетствующих определенным в проек­
те слоям (рис. 4.8).
�LO)'l'S
si-�,
L..,.. Sets
'
Layer�Мlnor}',,•••
Cori,poC.IP••
Corl'1,,Je М,,,"""'4 Pars ...
!дуе, r,_,,,o.o,,
l.ayer��
l<l'fe, Т.Ь.ф1""'5
U..Э..tlrr.,­
Use,..,._L•yerlwnes
т�� foJiп 1ft тоо0,о,1.,у6■ Bd!c,,,(J� ■ 1�....,■ lk,ltoof'.,.;( ■ т;;s�l
Рис. 4.8. Вкладки переключения слоев
Чтобы nключить активность слоя нужно выбрать его нажатием
левой клавишей мыши из закладок. Более удобное переключение
nыполю1стся нажатием комбинации Ctrl+Shift+Scroll, где при ка­
ждом прокручивании колеса мыши (Scroll) будет переключаться
один слой.
При нажатии правой клавиши на nкладке слоя появляется диа­
логовое окно (см. рис. 4.8.), в котором представлены все доступ­
ные команды работы со слоями:
• Hide - скрыть активный слой;
• Highlight - подсветить активный слой;
197
Глава 4. Разработка печатных плат
• Hide Layers - список всех отображенных слоев платы, из ко.
торого можно выбрать слой, который необходимо скрыть;
• Show Layers - список всех скрытых слоев платы, из котор0•
го можно выбрать слой, который необходимо отобразить;
• Layer Sets - группы слоев;
• Layer Stack Manager - добавление, удаление и управление
порядко�1 расположения слоев;
• Configure Drill Pairs - настройки пар слоев для сверловк11;
• Configшe Mechanical Pairs - настройки пар механических
слоев;
• Layer Colors - управление отображением слоев;
• Layer Drawiпg Order - порядок прорисовки слоев;
• Layer Display Optioпs - режим отображения слоев Transpa­
rent (полупрозрачный) или Single Layer Mode (режим одного
слоя);
• Layer Tabs Options - настройки вкладок переЮ1ючения слоев;
• Use Short (Medium, long) layer Namcs - настройки назва­
ния слоя на закладке;
• Flipped - поворот платы на 180 градусов. Позволяет коррек­
тировать надписи на нижнем слое платы.
Во время трассировки платы возникает необходимость вклю­
чить отображения одного или нескольких слоев. Для быстрого пе­
реключения в однослойный режим используется комбинаuия
Shift+S, после чего на экране будет отображен только активный
слой, а остальные, в зависимости от настроек будут либо полупро­
зрачные, либо показаны серым uвето:-1. Переключение между
слоями в однослойном режиме можно выполнить только комби­
нацией Ctrl+Shift+Scroll.
Иногда удобно включить два, три или более слоев, функuио­
нально связаны между собой. Например,
для доработки платы удобно видеть
только слои, относящиеся к верхней
части или только к нижней по от11елыю­
сти. Для этого слои можно группировать
друг с другом и включать nидимость
группы слоеn.
Чтобы создать группу слосn, исполь�Lгyers
зуется
кнопка, расположенная слева от
1op{]sfe, lll!'i:Мon.<!jlel I
вкладок (см. рис. 4.9), на которой налиРис. 4.9. Создание
груnnы с.11,оев
сано «LS>>. В выпадаюшем списке пред198
4.3. Разработка конструктивных параметров печатной платы
-�· ,s,g>e1Lм,1
_.._
,.....,.
�a,Spl11•01t
Тар!....,\,,у
T09_L-•
!c,pL-
Layer Sels
н-
uil.,..,
1,,1,1ectw-,c.i L.,,..,
т._
Layers
v-F.... в,.,.... sdo н.,..
□о
о
[J
о
'
S"}'\111
Sq,,,I
s•s.,....
s•so....
Бо1,,..,_
t"'..-
в......�
..�
DriGude
114-.0
о,ю.---,
14..Ы.-
1,o.dtlnSo<
.,
.,
Ро�еМа:.
Scldo, "'"""
n
u.,
J«s>Cu
[
D"D,_
[...;
Sddoo_,&k
D,,JG...,_
Иtd.....,.,
и,.�..,..
.,
.,
о
Рис. 4.10. Добавление слоев в группу
лагается выбрать одну из созданных групп слоев или создать новую
группу (Board Laycr Sets). ПР.И создании новой группы, появляется
окно, показанное на рисунке 4.1 О, работа с которым интуитив­
но-понятна. Здесь нужно добавить новую группу в левом списке, и
в правом указать слои, которые относятся к данной группе.
Теперь в списке на рисунке 4.9, появится новая группа, выбрав
которую на экране будуr показаны только слои этой группы.
4.3. Разработка конструктивных параметров
печатной платы
Итак, после того, как рабочая область редактора плат имеет
конкретные настройки пользователя, и метрическую систему ко­
ординат, можно приступить к разработке печатной платы. После
создания схемы была выполнена компиляция, и теперь проект го­
тов к разработке печатной платы, которую условно можно разде­
лить на несколько самостоятельных этапов: разработка конструк­
тива платы, создание правил проектирования, размещение компо­
нентов, трассировка, проверка правил проектирования (DRC),
отл�п.к- а и подготовка технологических файлов для производства.
В данной главе будут рассмотрены все вопросы, касающиеся пер­
в ого этапа разработки платы, а именно, оформления конструктив­
ных параметров.
199
Глава 4. Разработка печатных плат
......
Под разработкой конструктивных параметров подразумевается
этап разработки печатной платы от формирования файла платы до
размещения компонентов, состоящий из четырех шагов: форми­
рования контура печатной платы, описания стека слоев, установ­
ки крепежных отверстий и определения запрещенных зон дЛя
трассировки. Предполагается, что файл платы уже создан - при­
ступим к формированию контура плать1.
4.3.1. Импорт контура платы в форматах DWG и STEP
Создание платы начинается с формирования се контура, и дан­
ная процедура может быть выполнена несколькими действиями.
Для формирования контура платы используется группа команд
меню Design> Board Shape, из которых наиболее востребованными
ямяются:
1. Redefine Board Shape - Рисование контура платы вручную
2. Define from Selected Objects - Формирование контура платы
из выделенных объектов
3. Define from 3D Body - Формирование контура из трехмер­
ной модели (работает только в трехмерном режиме)
4. Define Board Cutout - Формирование выреза в плате.
Рассмотрим подробно каждый из этих инструментов на приме­
ре. Итак, для создания контура платы вручную; выполним коман­
ду Design> Board Shape> Redefine Board Shape, после чеrо курсор
мыши переходит в режим рисования, сходный с инструментом
Place Line. Теперь фиксируя левой кнопкой мыши (ЛК) углы кон­
тура платы можно нарисовать граничный рис., при этом комбина­
цией клавиш Shift+Space можно переключать режимы ортогональ­
ности для использования дут и острых углов. При использовании
дуги ее радиус меняется комбинациями клавиш Shift+,>.»(тoчкa) и
Shift+»,",>(запятая). Стоит отметить, что данный инструмент позво­
ляет создавать только примитивные по форме контура. В режиме
рисования бывает сложно позиционировать курсор в необходимую
точку, поэтому координаты утлов во время рисования контура
можно вводить с клавиатуры. При активной команде следует на­
жать клавишу J, затем клавишу L, после чего на экране появится
окно Jump То Location, в котором предлагается ввести координа­
ты. Описанная команда перемещает курсор в указанную точку, а
для фиксации точки контура нужно после каждого ввода коорди­
нат нажимать клавишу Enter. Например, чтобы создать контур
платы в виде прямоугольника 32,5 х 46 мм, следует выполнить ко200
4.3. Разработка конструктивных параметров печатной платы
манду Design>Board Shape>Redefinc Board Shape, а затем, не тро­
rая �1ышку, вводить последовательно координаты следующим об­
разом: J > L > {100,100} > Entcr > J > L > {100, 132,5} > Enter > J >
L > {146,132,5} > > Enter > J > L > {140,100} > Enter > и закончить
формирование контура нажатием П К.
Сразу бросается в глаза излишняя усложненность данного ме­
тода, но стоит отметить, что данный инструмент практически не
используется. В большинстве случаев контур платы изначально
созлается в механической САПР, а потом импортируется в форма­
те DXF или STEP. Рассмотрим оба эти варианта.
Для создания контура платы воспользуемся заrотоnкой, заранее
созланной в проrрамме AutoCAD и сохраненной в формате
DWG(DXF). Для использования файла в формате DWG(DXF),
находясь в редакторе печатных плат, вьmолним команду
File>lmport. В строке Тип файлов следует указать AutoCAD, после
чего выбрать исходный файл с будушим контуром платы.
На экране появится окно, показанное на рисунке 4.11, в кото­
ром нужно обязательно задать единицы измерения в rруппе Scale
(1]�
lmport from AutoCAD
Blocl<,-----�
Scale------------�
0 lrц,o,1 м �r
[ Oefdlrey{dh
(о) nvn
Omi
0inch
о�
1
[
1 Аиd:./Ф unl. о
�l rnm_______.
Sire • 54.254ттt (21 Э5. 985nw) • Ц 254nvn (17 4U84rт,il)
Lc,c.,ieдuoCAO (0.0)..i
I v1L,,_0mn____.l ! �elecl 1
L-0.1 _2mn_____..l xl!m
�-----�
Si»ce L�,.. н-
1
Ocru ToPoclCorwe,rion
1 РСВ Loye,
NrAl�led
Convetsion CondiЬon
А1
ДJ
201
Глава 4. Разработка печатных плат
--
(по умолчанию установлены mil - в этом случае контур будет
уменьшен в 2,54 раза). Остальные настройки не столь обязатель­
ны, но рекомендуется указать расположение начала координат
вставляемого рисунка в окне Locatc AutoCAD и выбрать слои лля
импорта. При выборе слоев следует указывать на какой слой
Altium Designer будет передана импортируемая информация. Для
контура платы обычно используется графический слой Mechani­
cal l, поэтому именно он был выбран напротив исходного слоя
Layer, при этом для слоя О - выбрана настройка Not lmported
(Не импортировать). После установки всех опций в соответствии с
рисунком 4.11, нажимаем кнопку ОК, и в рабочей обласrn редак­
тора появляется импортированный контур. Теперь программе
нужно указать, что этот контур является границами платы. Для
этого выделяем весь импортированный контур и выполняем ко­
манду Design> Board Shape> Define from Selected Objects, после чего
область внутри контура становится черной, а снаружи серой, что
свидетельствует о корректном создании платы.
Создание контура платы посредством импорта сложною конту­
ра из механи'lеских САПР в формате DXF (DWG) является наи­
более удобным, но нс обладает возможностью взаимообратного
редактирования. Поясним, о 'lем идет речь. В последней версии
программы имеется возможность в качестве ллаты использовать
внешнюю модель в формате STEP, при этом если в исходной про­
грамме меняется контур платы или изменяются отверстия, то из­
менения автоматически сохраняются в начальную модель STEP и
переносятся в Altium Designer. Кроме этого у такого приема есть
еще один недостаток, можно сформировать лишь контур платы
без выреза, т. с. если имеется вырез сложной формы, то его созда­
ние придется выполнять средствами Altium Designer, что не со­
всем удобно.
Рассмотрим процедуру создания ссылки на модель STEP в ка­
честве контура платы на конкретном примере. В качестве заготов­
ки воспользуемся моделью плата.SТЕР, из папки Example.
Прежде чем приступить к подключению данной модели, вы­
полним некоторые подготовительные действия. Во-первых, следу­
ет сделать «откат•> (CTRL+Z) последних действий, чтобы убрать
ранее созданный контур платы. Во-вторых, для использования
возможности задавать ссылки на модели STEP надо в настройках
заранее указать расположение папки с моделями, для чего откро­
ем окно DXP>Prefcrcnces>PCB Editor>Modcls. В появившемся
202
-
4.3. Разработка конструктивных параметров печатной платы
Preferences
___�
:JS)S1<m
·=--"'
:Jfi'GA
"' :::i-..- C..U,cl
-a�spt,,,
!3
.с.,,,,..
PCIIЫ:ar
(f(gi
РСВ Editor - Hodels
cv..,1
•'-У
·-dlnoф\Qori,y
·-dhq<8_,.Jt.,;,i..ro
81tttl,ac.М'P.o.1tr9
■т.... т,...,­
•--с.nА\>rа,Ь,
·-·
. �$0�-
.D,f.,Jt,
�PC8t<l)8[Y D
[:DPtpa,ts
.....,...с.ь. _____,
i!JC,1'<><1Ea,,n
..... С.,САМ EdD
-,::,,;-�
-:::i-
-<::J�tь,
-··----°""�
Qrюz,ry
0---о
Г.,..,.-.!.._.,.,,_......,.._,,
t•
Рис. 4.12. 1/одuючение папк.и с модеАями STEl'
окне нужно нажать кнопку rz3 и указать путь к расположению
моделей, в нашем случае: .../cxample (в которой находится файл
плата.stер), после чего нажать кнопку Add. В списке nодключен­
ных папок с моделями появится новая ссылка, после чего нажи­
маем кнопку ОК (см. рис. 4.12).
Сначала, чтобы использовать STEP модель nлаты ранее создан­
ной D механической САПР, нужно переключиться в трехмерный
режим работы. Переключения между двумерным и трехмерным
рсжи�1ами работы выполняются нажатием клавиш 2 и 3, при этом
стоит помнить, что не все видеокарты поддерживают режим рабо­
ты с трехмерной платой (для этой задачи нужна видеокарта с под­
держкой DirectX9.0C и Shared Mode 3.0). Итак, после нажатия
клавиши З. программа переходит в трехмерный режим работы и
203
Глава 4. Разработка печатных плат
�---
-
-----------· х
Выбор режима рабоn,1
панели PrQJect,
30 Models. работа с
моделями
Вкnючение
отображения
моделей на экране
Рис. 4.13. 1-fовьiй режим paбomhl,
плата отображается синш.1 uветом. Теперь нужно включить ото­
бражение STEP 111oдe,1eii, которое выполю1ется через панель
Project (рис. 4.13).
Далее можно разместить моле!lь STEP в рабочей области, для
чего выполним команду Place>ЗD Body и на экране поя,штся д11а­
лог 3D Body. В появившемся окне выбнраем тип модели Gcneric
STEP Model н в 1111жней чnсти нажимаем кнопку Li11k ю Stcp
Model. После прелложсн11я соз,1ать ссылку на �юдс.11> откроется
окно, в котором показuна ранее заданная папка и псе хрuюш1иеся
в ней модели. В списке выбираем �юлель плата.SТЕР и нажимаем
кнопку ОК. Теперь в дналоrе 3D Body нажимаем кнопку ОК и
размещаем моде.1Ь в рабочей области нажатием левой кнопки
204
4.3. Разработка конструктивных параметров печатной платы
мыши. После размещения модели программа предлагает устано­
вить следующую модель, в нашем случае следует отказаться от
этого нажатием кнопки Cancel. Последним шагом нужно указать,
что добавленная модель в формате STEP является платой, для чего
выполним команду Design>Board Shape>Define from 3D Body и
вьтолним последовательно два щелчка мыши на добавленной 1\Ю­
дели. В результате будет выдано сообщение, в котором предлагает­
ся задать контур платы из выбранной модели, с чем следует согла­
ситься.
Теперь мы имеем плату в трехмерном виде со ссылкой на мо­
дель STEP, причем эту плату можно вращать во всех плоскостях.
Рис. 4.14. Кнопки поворота платы в трехмерном формате
Для вращения платы нажмите клавишу Shift, после чего на эк­
ране появится <•шар со стрелками•> (рис. 4.14), на котором имеют­
ся кнопки управления поворотом:
1. При наведении курсора на стрелки и движение мышки с на­
жатой правой клавишей - будет осуществляться поворот в ука­
занном стрелкой напрамении
2. При наведении курсора на дуги и движение мышки с нажа­
той правой клавишей - будет осушествляться поворот в плоско­
сти рабочей области
3. При наведении курсора на точку и движение мышки с нажа­
той правой клавишей - будет осуществляться свободное враще­
ние.
4. После описанных выше действий мы имеем плату в Altium
Designer со ссылкой на модель STEP, причем стоит обратить вни­
мание, что круглые отверстия, которые были созданы в механиче205
Глава 4. Разработка печатных плат
ской САПР, конвертировались в контактные площадки со свойст­
вами обычных крепежных отверстий. Если на последующем этапе
проектирования модель платы будет изменена в той программе, n
которой она была создано, то в AJtium Designer при обращении к
этой модели будет выдано сообщение, показанное на рисунке
4.15. В сообщении предлагается обновить модель платы в соответ.
ствии с исходной моделью в формате STEP.
Modffied Flles Warning
[1)[RI
Тhе fies refefenced Ьу 3d Ьodles i1 the folowilg documents have Ьееn modified Would you lke
\о updale lhe 3d bodles?
C:\fort7\plata.PcЬOoc
(1) С \еХ8"1)1е\nлВТ8.SТЕР
Рис. 4 .1 .
ообщение об о новлении модеА.и
Кроме инструментов по созданию контура печатной платы в
выпадающем меню Design> Board Shape име�ется команда для
формирования вырезов в плате и несколько команд по редактиро­
ванию ранее созданного контура платы, которые очень не удобны,
поэтому крайне нс рекомендуется их использовать для создания
платы.
4.3.2. Управление порядком расположения слоев
После создания контура платы перейдем ко второму шагу разра­
ботки конструктивных параметров платы, на котором определяется
порядок расположения слоев печатной платы. Как известно, при
разработке платы работа ведется с несколькими слоями, так топо­
логия проводников разрабатывается на одних слоях, пасты и маски
наносятся в других и т. д. В программе P-CAD все слои делились на
3 вида: Signal (сигнальные), Plane (экранные), NonSignal (не сиг­
нальные). В Altium Designer похожее деление, за исключением того,
что не сигнальные слои делятся на группы по функциональному
назначению. Просмотр всех существующих слоев проекта и управ­
ление их отображением выполняется в окне View Configurations, ко206
4.3. Разработка конструктивн ых параметров печатной платы
торое вызывается командой Design>Board Layer&Colors или нажа­
т11ем клавиши L. Если эта клавиша была нажата во время работы в
двумерном режиме, то окно на экране будет nыrлядетъ согласно по­
к:�занному на рисунке 4.7. Здесь в правой части показаны группы
слоев редактора плат:
1. Signal Layers (Сигнальные слои) - предназначены для созда­
ния топологии проводящего рисунка,
2. lntemal Layers (Экранные слои) - предназначены для распо­
ложения внутренних полигонов земли и питании. Эти слои не
предназначены для прокладки проводников и информаuия в них
отображается инверсно,
3. Mechanical Layers (Графические слои) - используются для
вспомогательной rрафи'lеской информации, напри�ер, контур
платы, и т. д.,
4. Mask Laycrs - слои паяльной пасты и защитной маски,
5. Other Laycrs - дополнительные слои, к которым относятся
слой зоны запрета и слои отображающие отверстия в плате,
6. Silkscreen Laycrs - слои шелкографии, в которых располага­
ется информация для маркировки на плате,
7. System Layers (Системные слои) - правильно было бы liЗ­
звать системные uвета, к которым относится цвет фона, сетки, со­
единения и др.
В данном окне нельзя добавлять и удалять слои, здесь выпол11яется управление видимостью слоев, для чего используются
кнопки в виде rиперссылок под каждой группой слоев. Например,
для сигнальных слоев, кнопки AII On, All Off, Used On (Вклю•1ить
вес, Выключить все и Включить только используемые сигнальные
слои). Кроме страницы отображения слоев в окне View Confi­
gurations имеются вкладки Show/Hide и Yiew Options. На первой
задастся режим отображения примитивов (рис. 4. 16), который мо­
жет быть Final - полное отображение, Draft - отображение в
виде контура, Hidden - скрыть примитивы.
Bo«d�1AndCoЬtl
Show/Hide 1V-Op1,мr/
a
k r""'
r0
оо,о11
i.iden
H
10
rio
�D•<YI
Hdden
Рис. 4.16. Переключение режимов отображения примитивов
207
Глава 4. Разработка печатных плат
На второй вкладке (View Options) устанавливаются дополни­
тельные настройки отображения, из которых на данном этапе сто­
ит обратить внимание на опuию Origin Marker, которая включает
отображение начала координат. Закроем окно View Configuration
нажатием кнопки ОК.
Добавление новых слоев и управление их положением в стеке.
печатной платы производится в окне, которое вызывается коман­
дой Design> Layer Stack Manager (рис. 4.17).
[i.-.c.ic1 "'-S""'IIOL�,r,d [
G:J � 1-------1
ра ление стеком слоев
В данном окне показана структура печатной платы, на которой
видно расположение сигнальных и внуrренних экранных слоев.
В правой части окна имеется набор команд для управления поряд­
ком расположения слоев. Кнопки Add l.ayer и Add Plane добавляют
сигнальный и экранный слой соответственно, причем в Altium
Designer может быть создано 32 сиrнальных и 16 экранных слоев.
Использование экранных слоев (Plane l.ayer) изначально не вос­
требовано в практике большинства отечественных предприятий,
так как вызывает некоторые трудности в использовании. Во-пер­
вых, на таких слоях нельзя создавать проводники, а во-вторых, не
всем удобно работать с инверсно отображенными слоями. Для рас­
положения внутренних полиrонов питания и земли используются
обычные сиrнальные слои, которые обладают большей rибкостью
при работе. Кнопки Move Up и Move Down (рис. 4.17) перемещают
выбранный слой вверх и вниз по списку. Для изменения свойств
208
4.3. Разработка конструктивных параметров печатной платы
слоя можно выполнить двойное нажатие ЛК на его названии или
использовать кнопку Properties. При намерении разработчика про­
вести анализ целостности сигнала (команда меню Tools>Signal
Intcgrity) необходимо корректно указать следующую информаuию.
Для сигнальных слоев: Name - задаваемое пользователем ·имя
слоя; Copper thickness - толщина слоя металлизации, необходимая
для анализа целостности сигналов. Для внутренних слоев питания
и заземления: Name - задаваемое пользователе\.! имя слоя; Copper
thickлess - толщина слоя металлизации, необходимая для анализа
целостности сигналов. Для подложек и изолирующих слоев:
Material - тип используемого материала; Thickness - толщина ди­
электрического слоя, необходимая для анализа целостности сигна­
лов; Dielectric coпstant - относительная диэлектрическая прони­
цаемость материала, необходимая для анализа целостности сигна­
лов.
При формировании стека слоев конструктору необходимо оп­
ределить пары слоев для сверления отверстий (drill-paiгs). Термин
drill-paiгs относится к двум слоям, участвующих в сверлении (на­
чальный и конечный слой). Если на плате не применяются глухие
и сtфь1тые переходные отверстия, то в проекте присутствует толь­
ко одна пара слоев сверления, состоящая из верхнего и нижнего
слоя. Эта пара слоев устанавливается по умолчанию и не подле­
жит ни удалению, ни модификации.
Пары слоев сверления задаются в диалоговом окне DrШ-Pair
Manager, которое вызывается нажатием кнопки Drill-Pair в диало­
говом окне Layer Stack Manager. При использовании в проекте
глухих и скрытых переходных отверстий, пары слоев сверления
должны быть определены с учетом используемого стиля стека сло­
ев в строгом соответствии с требованиями представителей произ­
водства.
4.3.З. Крепежные отверстия и зоны запрета
для трассировки
Последние действия на подготовительном этапе разработки пе­
чатной платы - это установка крепежных отверстий и формиро­
вание зон запрета для металлизации. Крепежные отверстия уста­
навливаются командой Place>Pad, как обычные контактные пло­
щадки. После запуска команды размещения отверстий следует
нажать клавишу ТаЬ и в свойствах ука:,3атъ нулевые значения в па­
раметрах формы контактной площадки (Size and Shape) и при не209
Глава 4. Разработка печатных плат
обходимости отключить металлизаuию внуrри отверстия (Plated).
Стоит отметить, что при формировании контура платы посредст­
вом импорта модели платы в формате STEP, бьmи автоматическ и
созданы отверстия, в свойствах которых включена металлизаuия и
сформирован поясок металлизаuии, равный диаметру отверстия.
Для расположения зон запрета для металлизации используетс я
группа команд Place>Keepout, в которой имеются инструменты
по формированию линий запрета и полигонов запрета. Историче­
ски сложилось, что зоны запрета в виде линий (а так же дуг) не
воспринимаются автотрассировщиками Specctra и Situs, поэтому
рекомендуется их выполнять только с помощью команды Place>
Keepout>Solid Region. Кроме того, что необходимо использовать
только зоны запрета в виде полигона, нужно следить за слоем, на
котором выполнена графика, относящаяся к Keepout (зоне запре­
та). При размещении зоны запрета, действующей на все сигналь­
ные слои платы, необходимо в окне Layer выбрать слой Keep-Out
layer, в противном случае в этом окне нужно выбрать конкретный
слой, на который оказывает действие данная зона запрета. Так же
зону запрета можно создать для определенной uепи, которая ука­
зывается n окне Net свойств полигона (рис. 4.18).
Итак, мы рассмотрели весь комплекс мероприятий по форми­
рованию конструктивных особенностей печат11ой платы. Все опи-
[I\rE)
Region
.
, f'lopetЬes
t
Laye,
№1
\tioNet
r:;;1
:::,.�� 1
80..d Cutoue
._-_-_-_-_-:_
_
-:_-:_-:_-:_-:=_-:=_-:=_::_ �--Keoi,out
-"l.;i!
ок
0
11 C4ncel
Рис. 4.18. Свойства зоны запрета
210
0
4.4. Синхронизация схемы и платы
санные выше действия можно выполнить, используя мастер созда­
ния печатных плат, в котором параметры, платы, создаваемые
вручную, будуr сгенерированы автоматически, пуrем пошагового
ввода информации о плате.
4.4. Синхронизация схемы и платы
Процедура переноса информации из схемы в плату, как и по­
следующее внесение изменений, являются одной из наиболее про­
стых задач, решаемых в программе AJtium Designer. Для этого из
редактора схем выполняется команда Design> Update РСВ Docu­
ment ..., и если в структуре проекта находится несколько файлов
nлат, то будет предложено выбрать файл, куда вносить изменения.
При запуске этой команды появляется окно, показанное на ри­
сунке 4.19, в котором представлен список отличий между схемой
и платой. Перечень объектов, наличие которых сверяется в схеме и
плате задается в окне Project> Project Options на вкладке Compa­
Гdtor. В перечне изменения разбиты по группам, например, на ри­
сунке 4.19 в группе Add Components перечислены компоненты, ко­
торые будуr добавлены из схемы на плату, Add Nets - цепи и т. д.
Находясь в окне Engineering Change Order (которое кстати яв­
ляется аналогом файла ЕСО в P-CAD, название которого и пред­
ставляет аббревиатуру), выполняются всего два действия, показан­
ные на рисунке цифрами.
Вначале командой Vaiidate проверяется возможность внесения
изменений, где главной проблемой может быть отсутствие поса­
дочного места у того или иного компонента (как в случае на
рис. 4.19). При фиксации ошибок в столбце Status окна Engine­
ering Change Order, следует нажать кнопку Close и выполнить от­
ладку платы.
После того, как команда Validate подтверждает возможность
внесения всех изменений, выполняется команда Execute, результа­
том которой будет загрузка всех данных из схемы в плату.
При последующих изменениях на схеме необходимо будет по­
стоянно вносить изменения в плату командой Design>Update РСВ
Document, при этом изменения не будут касаться размещения
компонентов, топологии, металлизации и других элементов, от­
суrствующих на схеме.
211
rлава 4. Разработка печатных плат
С1
:.::
::
\О
3
о
!
... �
1i�,--��i��
- - - - - ---!! 11 8 11 8
8 8 !! !!
:! := :?. ��
�� :! :! :! �
8
••••• ••••••
��:!�
�Y:1:%.f�
ii!J�!
......
� .J: � ..t
i .е11-0
11!11'
)))))).
llllll. i
- ,.,
,iii,i
- - &"'\ Q)
::) ::) ::) ::)
';:1
о
���!!
111111 1111
j"'
!]!]!!
t
i ... о
t-"
:i
�
.r
J�1)!
-L
"' � Q 6
l
�
Рис. 1.19. Перенос информации из схемы в п.11ату
212
4.5. панель РСВ
4.5. Панель РСВ
Панель РСВ является основной мя работы с редактором плат
Altium Designer, она позволяет вести работу с объектами заданной
категории и выnолнять такие действия, маскировка и выделение
объектов на плате через список. Работа в панели РСВ может вес­
тись со следующими объектами:
• Nets - работа с цепями;
• Components - работа с компонентами;
• Rules and Violations - работа с правилами и нарушениями;
• From-To - работа с маршруrами;
• Split Plane Editor - работа с экранами;
• Differential Pair Editor - работа с дифференциальными па­
рами;
• Polygons - работа с поли­
1
гонами;
• Hole Size Editor - редакти­
рование размеров отвер­
12 Net аы... 11 Hфlфled)
стий;
<AIN�s>
• 30 Models - работа с трех­ • • 1
017 О1
мерными моделями.
КЕ Э..0
Рассмотрим возможности па­ 1 �Nett 11 H�edl
нели РСВ при работе с объекта­ N"""' 1 Node Co,r,I Ra.nd
2
25.•З489nm
АО
ми разных типов.
AI
27.70668mm Qrm,
2
4 � 1 .,.
1
При работе с цепями, данная
29.44125nm Qrm,
2
пане:iь имеет вил, показанный на
22.1 «04r,,n Qrm,
2
рисунке 4.20. Выбор объектов, с
которыми ведется работа, произ­
водится в списке в верхней части P«t U••Э
U
Р�
U7-11i6
панели (см. 1 на рис. 4.20). После Т r«k Wdt,.O 203ZJrrn
этого панель де:штся на 4 окна, в 'f.!,_llcl< W'.tF.:o 2032nm nepnoJ\1. перечислены классы це­ J r,м;lc Wdh-0.2032rтm
пей, во втором цепи выбранного
класса, в третьем примитивы вы­
бранной цепи и в последнем
окне общий вид платы (см. 3-6
на рис. 4.20). Кроме этого, име­
ется группа кнопок и опций, по­
Рис. 4.20. Работа с цепями
зволяющих применить заданные
213
Глава 4. Разработка печатных плат
действия к выбранным объектам (см. 2 на рис. 4.20). Здесь залают­
с� стандартные действия:
• Mask (Dim) - вариант фильтрации маска или затенение;
• SeJect - выделение;
• Zoom - масштабирование;
• Clear Existing - очистка предыдущего выделения;
Кнопка Apply - применяет выбранное действие, Clcar - отме­
няет выбранное действие и Zoom Level - задает коэффициент
масштабирования.
Рекомендуется по кнопке Zoom Level - выбрать среднее значе­
ние, а вариант фильтрации выбрать Dim. При этом параметры
фильтрации задаются отдельно по кнопке Mask Level в нижнем
левом углу редактора, рекомендуемые_ параметры показаны на
рис. 4.21.
OmCc,ьol
�шеdОь,,с�, F
юоо г( . � � ,
Ма tConha
Bюti,ou-od OЬiect, F11ct<> !
'
1
1
1
Jэо -
• .:....с..,
9. �
J
1
1
1
1
Рис. 4.21. Параметры маски и затенения
Если вес настройки указаны, как показано на рисунках 4.20
и 4.21, то при выборе шины A[l6 .. 0J, все цепи этой шины будут
выделены и отмасштабированы таким образом, что на экране кро­
ме них будет видна область платы вокруг них. Все остальные объ­
екты будут затенены и при нажатии клавиши Fl 1, в панели
lnspector будут показаны свойства всех цепей шины, доступные
пля редактирования.
Если пля цепей шины уже выполнялась трассировка, то в окне
Ncts (см. 4 на рис. 4.20), пля всех цепей шины будут показаны:
• Name - название цепи;
• Node - количество узлов в цепи;
• Routed - общая плина топологии;
• U11-Routed - не разведенная часть uепи.
Если в.списке нужно выбрать несколько цепей, предварительно
не объединенных в класс, то надо в окне Net Class (см. 3 на
214
4.5. Панель РСВ
рис. 4.20) выбрать АН Nets, а затем
вЬl брать в списке Nets нужные
uепи, используя станлартные кла­ �1 -х' а.а 11ь; 2oom t.ev.t. 1
внши мулътивыбора Shift и Ctrl.
IDrn
,v 0�oloc:I 0zoo,,, e)Do80 (>dw,g
В панели РСВ можно выполнять 113 Coщ,ononl СLще, (1 H,J�/y �edl --'-I
переход к свойствам тех объектов, U_PCВ_Ccrolroler
которые перечислены в окнах 3, 4 U_РСВ_DecoderS
11 5. Так двойной щелчок на цепи U_РС8_Е ncoder
А I выполняет переход к оюiу C8�1(1�edl
свойств указанной цепи. Также на­ 0Щ1',]lor !Carwnon , 1 Fodprr,I
100-F
СС2О1 UАЗО5
жатие правой клавиши мыши на J29
...
f❖;
названии цепи Al nредлаrает целый
nя
2 Ш15
набор дополнительных действий.
При работе с компонентами, па­
нель РСВ имеет вид, показанный
на рисунке 4.22. Основная часть
панели при этом не изменилась, но
теперь в центре содержится инфор­
мация о компонентах. В окне Corn­
ponents (см. l на рис. 4.22) пере­
числены классы компонентов и
группы:
• All components - все компо­
ненты;
Рис. 4.22. Работа
• Bottom Side components с компонентами
компоненты на нижней стороне платы;
• I nside Board components - компоненты внуrри контура пла­
ты;
• Outside Board components - компоненты за пределами кон­
тура платы;
• Тор Side components - компоненты на верхнем слое платы.
В окнах Components и Componcnts Primitives (см. 2 и 3 на
рис. 4.22) перечислены компоненты выбранного класса или груп­
nь1 и примитивы выбранного компонента, соответственно. Все
действия при работе с компонентами, аналогичны работе с цепя­
ми, и в общем-то, представляют собой слегка видоизмененную
панель Design Manager в проrрамме P-CAD.
Расширение возможностей панели РСВ по отношению к Design
" Manageг· в P-CAD начинается при выборе режима работы Rules
$
�
1
:: • 1
-'-__,,
• :
1
.__;,;.;"-
'
215
Глава 4. Разработка печатных плат
and Yiolations (см. 1 на рис. 4.23). В этом режиме панель РСВ ото­
бражает следуюшую информаuию:
• Rule Classes - классы правил;
• Rule - правила выбранного класса;
• Vio\ation - нарушения выбранного правила.
Так для поиска нсразведенных uепей в окне Rule Classes выбира­
ется класс Un-Route Net Constraiп, который содержит единствен­
ное правило, а в окне Violation перечислены все неразведенные
цепи. Стоит отметить, что некоторые нарушения появляются в
окне Yiolation только после запуска принудительной проверки пра­
вил (DRC), о чем будет сказано позднее.
Если выбрать конкретное нарушение из списка, то программа
выполнит автоматическое масштабирование вокруг нарушения и
отфильтрует остальные объекты с помощью затенения.
Иногда даже масштабирование и фильтрация не дают полную
информацию об ошибке, в этом случае нужно выполнить двойной
шелчок на названии ошибки в окне
Violation (см. 4 на рис. 4.23). В ре­
зультате на экране отобразится окно
с описанием выбранной ошибки.
Стоит заметить, что при выборе
объектов через панель РСВ, они отфильтровываются от остальной мас­
сы объектов и в этом случае даль­
нейшая работа с объектами, кото­
рые не попали в выбор, не возможна
до тех пор, пока не будет снята мас­
ка. Маску можно снять комбинаци­
ей Ctrl+C или кнопкой Clear в пане­
ли РСВ, или в правом нижнем углу
ЗVdolioni (1 H�ed\
Un-Acщd Net Com11aint: Be!Ween Pad С47-2133D2rm редактора.
Работа с остальными объектами в
Un-Roщd Net Const1oint 8
панели РСВ аналогична двум, опи­
санным выше, и так как, в основном
используются только показанные
действия, другие режимы подробно
не описаны. В исходной документа­
ции работа с панелью РСВ подроб­
u.-..-:1....-.-�-..._........,..,. но описана в документе TRO104
Рис. 4.23. Работа с правUАами (стр. 84-101).
I
216
А
4.б. Установка правил проектирования
4.6. Установка правил проектирования
При разработке печатной платы наиболее важным этапом явля­
ется установка правил проектирования ил11, голоря на языке инже­
нера, конструктивных и технологических ограничений проектиро­
вания платы. От установЮ1 правил зависит вся последующая работа
над разработкой, т. е. размещение компонентов, трассировка пе­
чатных проводников и последующая верификация проекта. В дан­
ной главе будут рассмотрены все правила проектирования и сфера
их действия, описана процелура создания правила и разобраны
конкретные примеры создания сложных правил с помощ1,ю языка
запросов (Query).
Предполагается, в наличии имеется файл платы с загруженны­
ми на нее компонентами.
Установка и редактирование правил проектирования может
производится вручную или с помощью мастера (Rule Wizard).
Сначала рассмотрим назначения и классификацию правит про­
граммы Altium Designer и порядок их описания в ручном виде че­
рез диалоговое окно Design Rules, которое вызывается с помощью
команды меню Design> Rules.
Все доступные в редакторе печатных плат правила проектирова­
ния делятся по функциональным назначениям на десять групп, ка­
ждой из которых в диалоговом окне Dcsign Rulcs выделена отдель­
ная вкладка (см. рис. 4.24). В рамках данной статьи будем рассмат­
ривать только правила, относящиеся непосредственно к разработке
платы. Все правила имеют свою сферу применения: некоторые из
них используются при трассировке, некоторые при размещении
компонентов, а некоторые только при проверке DRC. Назначение
"РСВ Rule$ and Constralnts Edltor [mmJ
=' [3Design А�ш
+ :' Elecl11C11I
.!.' _:. RoutilYJ
4'- SМТ
!В • M�sk
'+ i.1 Plane
;1/Tes!µon
-�·
M1!niJ&etu1ing
+· � High Speed
... . Plocemenl
� 59Wlrtщty
Рис. 4.24. Группы правил
217
Глава 4. Разработка печатных плат
всех правил показано в таблице 4.1. При разработке плат, наиболее
используемые те правила, которые оказывают влияние на интерак.
тивную трассировку.
4.6.1. Описание правил проектирования
Рассмотрим назначение правил, указанных в таблице 4.1.
В первой группе (Elcctrical) расположены правила, учитывающие­
электрическое соединение компонентов.
Clearance (зазоры) - определяеr
Different Nets Only
минимально допустимый зазор ме .
жду любыми двумя металлизироваMinimum Clearance 0.2
ными объектами на сигнальном
слое. Это правило используется для
задания расстояния между провод.
никами на плате.
-Short Circuit (короткозамкнутые
цепи) - проверяется наличие ко­
роткого замыкания между примити­
вами различных цепей. Наличие ко­
роткого замыкания констатируется, когда два объекта, принадле•
жащие цепям с различными именами, касаются друг друга.
Un-Routed Nets (неразведанные цепи) - проверяет статус за­
вершения трассировки всех цепей, попавших в указанную область.
Если трассировка некоторой цепи щ,шолнена не до конца, то каж­
дая незаконченная часть цепи (sub-net) заносится в список, где
также указывается коэффициент завершения, рассчитываемый как
отношение выполненного числа соединений к полному числу в
процентах.
Un-Connected Pin (неподключенные выводы) - данное прави­
ло служит мя выявления выводов, не соединенных с проводника­
ми на плате.
Правила, учитываемые при трассировке, располагаются в боль­
шей степени в группе Routing.
Width (ширина проводника) Prefe11ed Width О 3
данное правило определяет мини­
мальную, максимальную и реко­
Мах Widlh О.�
Min Widlh 0.3
мендуемую ширину проводников
J,
и дуг на медном слое.
Стоит учесть, что если не задан
t
разброс от минималJ,ной до мак-
. G
218
4.6. Установка правил проектирования
Таблица 4.1
Применение правил в Altium Designer
-�
Область примене ния правила
рави о
-ор ирова е
л
i, __,_______
-Ав_то_�_ссиро вка j DRC , в ыходн ых ф�н _
,IJS)_vr_oe
,
· __� �
__--1
---11
--ии ов
*. \ Ф м
1
--П
• № 1
л
:
-Electrical
i
\
i
Иитерактивная
1
1
•
1
•
1
' 1 Clearance
трассировка,
1
•
1
__
_
ние полиго ов
размеще
'
1
I _ ______
н
r__,
____-r,
----.--- - --.
i
-Short-Circuit
j 2
-.-----·-t--· --+1 ___
-- - -1 З
Unrouted Net
i, 4
[ 5
·
t·
', 6
,! 7
1
· ·-------,----,---------,---------,
1
Unconnected Pin*
1
9
� 1О
'
Иитерактивная
трассировка
•
Routing Тopo/ogy
•
---r-------,----+------г--------i1.
Routing Proirity
Routing Corners
Routing Via Style
1
1
·
Pairs
12 Differential
f
Routing
! 13 SMD to Comer
t 14 SMDto Plane
( 15 SMD Neck-Down
Для внешних
трассировщиков
(например, Specctra)
1
.
1
!
1
•
---+--------1,----+------,
11 Fanout Control
•
!
1
1
\_
Routing
Wldth
l\ 8 Routing layers
1
!
1
1
1
1
I
I
sмт
1
•
1
•
1
•
-И-ит-еракти--вн_а_я_-�
трассировка
i
•
j
i
Иитерактивная
трассировка
Интерактивная
трассировка
!
1
j
-......!,-=----'--""'=____..._______
219
Глава 4. Разработка печатных плат
Продолжение табл. /
'
№J
Правило
Solder мask
1 16 Expansion
Paste Mask
17
Expansion
1
!
1 Автотрассировка
1
Область применения правила
I I
DRC
Mask
1
19 Power Pfane
Clearance
1
2 Polygon Connect
0 Style
22 AcuteAngle
23 HoleSize
1
' 24 Layer Pairs
!
1
1
25 Parallel Segment
26 L.ength
27
Matched Net
L.ength
aisy Chain Stub
28 D
ength
1 L.
29 Vias UnderSMD
M mum
0
8 =3..J:Count
З: XJ::,
· _ _V-i lЗ_....,l
____
!!.,,
Формирование
выходных файлов\
Plane
18 Power Р\але
ConnectStyle
MinimumAnular
[ 21
Ring
*
1
1 ..
Manufacturing
.
.
High Speed
1
Другое
.
.
.
.
'
'
1
1
-'
1
i
1
;
i
Внутренние экраны
Внутренние экраны
'
1
1'
1
'1
1
Размещение полигонов j
1'
,,
1!
1
li
1
'1'u
Интерактивная трассиров ка
,,
1
1
,:
Выравнивание по длине
(
--..J,_=�----..1------J,i
~
4.6. Установка правил проектирования
Окончание табл. 1
Область применения правила
- - -----1,
и
- -* Ф ирова ие----рав
ло
_
_
_
_
_
1-f;в-т _тр сс
П
! №
:
�
у гое
ЩJ
о а и ро вка j D RC jв орм
ы�о_д__н_ы_х_ф__а ил_ __ов�:________
,• _......______.,_______1__
1
--"'!'�---==-,·---�-=�---�--�----•=-·-�-�----1
.....
Placemeпt
-�1
f;вторазмещение в ком­
нате (команда Within
Room)
1----+-------+-------+---+------i
1
t,вторазмещение
Component
•
32 Clearaлce
(Cluster Placer)
1
1-- -+_ _ _ __
1
t
-Авт
�змещение
- Com nen_
о
po
33 Orientations
(Cluster Placer)
<---+-------+-------+---+------�--------ji
1
! t,вторазмещение
34 Permitted l.ayers
(Cluster Placer)
t,вторазмещение
35 Net to lgnore
(Cluster Placer)
1
31 Room Definition
-+------1-----+-----....__
1
1
симальной ширины проводника, то программа нс только не будет
сужать дорожки при автоматической трассировке, ,ю и не позво­
лит· уменьшить ширину трассы в интерактивном режиме (по ко­
манде Shift+W). Также, стоит заметить, что параметры печатного
проводника могут быть заданы не в абсолютных единиuах (милли­
метрах), а в относительных, т. е. имеется возможность указать вол­
новое сопротивление проводника, по которому программа автома­
тически высчитает ширину трассы по заданным ранее параметрам
плать1.
Routing Topology Rule (топология трассировки)· - определяет
порядок или образеu соединения выводов проводниками. По
умолчанию редактор печатных плат располагает соединения между выводами та- Topology iShortest__________
ким образом, чтобы полная длина всех
соединений была минимальной.
Специфические требования могут на­
кладываться на отдельные uепи по не­
скольким причинам: для высокоскорост-
:а
221
Глава 4. Разработка печатных плат
ных схем, где отражения сигналов долЖRы быть минимизирова1-1ы '
применяется последовательная топология - «uепочка,>; для uerrcй
заземления может быть использована топология типа <<Звезда,>, что
гарантирует наличие общей точки для всех проводников. В оrrи­
сываемом правиле могут быть применены следующие виды топо­
логий:
Shortest (минимальная длина). Эта топология соединяет все
узлы так, чтобы полная длина всех соединений была минималь­
ной.
Horizontal (горизонтальная). Эта топология соединяет все узлы
таким образом, чтобы длина горизонтальных связей относилась к
длине вертикальных как 5: 1.
Vertical (вертикальная). Эта топология соединяет все узлы та ­
ким образом, чтобы длина вертикальных связей относилась к д.1и­
не горизонтальных как 5: 1.
Daisy-Simple (простая цепочка). Эта топология соединяет все
узлы в uспочку один за другим. Порядок следования узлов в це­
почке рассчитывается так, чтобы минимизировать общую длину.
Если заданы начальная и конечная контактные площадки (source
и terminator), то осталы-1ь1е располагаются по принципу миними­
заuии общей длины. Для назначения начальных или конечных
контактных площадок необходимо выполнить·их редактирование.
Daisy-Mid Driven (цепочка с началом в центре). При этой топо­
логии начальный узел (или узлы) размешается в центре цепочки,
остальные узлы делятся на две группы и размещаются по разные
стороны от начального узла. При этом необходимо задать два ко­
нечных узла, которые помещаются на конuах цепочки. Если не
определены точно два конечных узла, то используется топология
простой uепочки Daisy-Simple.
Daisy-Balanced (сбалансированные uепочки). При такой топо­
логии все множество узлов делится на одинаковые по числу узлов
цепочки, общее количество которых равно числу конечных узлов.
Затем эти uепочки соединяются с начальным узлом по схеме
<<Звезда,>. Несколько начальных узлов соединяются вместе.
Star («звезда!>). При топологии такого типа каждый узел подсое­
диняется непосредственно к начальному узлу. Если заданы конеч­
ные узлы, они подсоединяются после кажцого узла. При наличии
нескольких начальных узлов они соединяются вместе, как в топо­
логии типа Daisy-Balanced.
222
4.б. Установка правил проектирования
Правила выполняются в следующем порядке: Star, Daisy­
Balanced, Daisy-Mid Driven, Daisy-Simplc, Horizontal, Vc11ical,
ShoI1est.
Routing Priority Rule (приоритет трассировки) - присваивает
uепи приоритет трассировки. Самый высокий приоритет равен
\00, самый низкий - О. Приоритет трассировки представляет со­
бой относительное значение, используемое для задания порядка
автоматической трассировки цепей.
Routing Layers Rule (слои трассировки) - определяет слои, ко­
торые будут использоваться при автоматической трассировке.
Routing Corners Ru\e (уrлы изrиба проводников) - определяет
стиль излома проводников, используемый при автотрассировке.
Изломы могут быть выполненными под уrлом 45 ° или скруrлен­
ными. Устанавливаемые значения определяют минимальное и
максимальное размеры скоса или дуги.
Routing Via Style Rule (стиль переходных отверстий) - опреде­
ляет диаметр КП и диаметр круглого переходного отверстия.
Via Diameter
Minimum �
Maximum�
Prefeпed )1 mm
1
Via Hole S�i:r_e_
�
�
Minimum 10.5mm
Maнimum /o.5mm
Prefeпed 10.5mm
Fanout Control - стиль отвода проводника от контактной пло­
щадки для поверхностного монтажа. Можно задать варианты вы­
вода, так называемых <•Фаноутов•>, для различных типов корпусов
?RISUNOK
Differential Pairs Routing (трассировка дифференuиальных
пар) - настройки интерактивной трассировки дифференuиальных
пар. В данном правиле задается зазор межлу двумя проводниками
+
MlnGap
0.2
,Мех Gep 02
Praferred Gap О 2
Мех Unc:oupled Lenglh 12. 7rr,n
· 0 Loye,s ,n l e1stack or/}'
ay
223
Глава 4. Разработка печатных плат
---
пары и величину препятствия, которое проводники пары мoryr
огибать нс параллельно.
Правила для контактных площадок под поверхностный мон­
таж - группа SM D. Согласно таблиuс 4.1, все правила этой груп­
пы используются только при проверке правил проектирования, то
есть программы в автоматическом и интерактивно:-.1 режиме не
выполняет требования этих правил.
SMD to Plane (минимальное расстояние до переходного отвер­
стия) - определяет максимальное расстояние от uентра контакт­
ной площадки компонента для поверхностного монтажа до бли­
жайшего переходного отверстия на внутренний слой питания или
заземления.
SMD to Corner (минимальное расстояние до изгиба) - опреде­
ляет минимальное расстояние от uентра контактной площадки
компонента для поверхностного монтажа до ближайшего изгиба
подключенного к ней проводника.
SMD Neck-Down (сужение ширины проводника) - определяет
максимальное отношение ширины проводника к ширине контакт­
ной площадки компонента для поверхностного монтажа, выра­
женное в пронентах.
Neck-Down 50%
i
Правила для нанесения паяльной ласты и защитной маски группа Mask. Эти правила немного выделяются из основной мас­
сы, так как они не используются при проверке и при работе, но
они имеют очень большой вес, так как они оказывают влияние на
формирование выходной документаuии для производства (gerЬer).
Solder-Mask Expansion Rule (размер окна в трафарете для за­
щитной маски) - задается величина, на которую расширяется или
сжимается рисунок контактной площадки на слое Solder Mask, из
которого формируются окна в трафарете для пайки волной. При­
оритет имеет пра11ило, которое определяет наибольшее зна•1ение.
Paste-Mask Expansion Rulc (размер окна в трафарете мя нанесе­
ния паяльной пасты) - задается величина, на которую расширя224
4.б. Установка правил проектирования
..
ется или сжимается рисунок контактной площадки на слое Paste
Mask, из которого формируются окна в трафарете для нанесения
лаяльной пасты. Сжатие рисунка осущестмяется при задании от­
рицательного значения. Приоритет имеет правило, которое опре­
деляет наименьшее расширение.
Правила для подсоединения полигонов и экранных слоеn
(Plane).
Power Plane Connect Style (стиль соединения выводоn со слоем
питания) - определяет стиль соединения выводов компонента со
слоем питания. Аналогично стилю подключения полигона. Заме­
тим, что слои питания, отображаются в негативе, а значит, нари­
сованный на нем примитив будет вытравлен на слое меди.
Power Planc Clearancc (�зоры на слоях питания) - определяет
радиальный зазор, создаваемый вокруг переходных отверстий и
контактных площадок, которые проходят сквозь слои питания, но
не соединяются с ними. Приоритет имеет правило, которое опре­
деляет наибольший зазор.
Polygon Connect Style (стиль соединения вьшодоn с полиго­
ном) - определяет стиль соединения выводоп компонента с ме­
таллизированным полигоном. Систе:-.1а допускает два типа соеди­
нения: непосредственное (сплошное) соединение и соедш1сн11е с
тепловым барьером, а также отсутствие соединения. В с,1учае вьt­
бора соединения с тепловым барьером необхолимо задать количе­
ство и ширину проводников, а также угол их расположения.
8 зак. 32
225
Глава 4. Разработка печатных плат
Connect Slyle
jRшf Coonecl 11
f Ca-,duct(II� �
_02
0�]
В группе Manufacturing расположены правила, учитываемые
при nроизводстве. Здесь задаются технологические ограничения
производства. Данные правила в наименьшей степени относятся к
работе конструктора и не оказывают существенного влияния на
разработку.
Minimum Annular Ring (минимальный размер контактной пло­
щадки) - определяет минимально допустимый размер кольца
контактной площадки, который измеряется радиально от кран от­
верстия контактной площадки 110 ее кромки. Выполняется прави­
ло с наибольшим установленным размером кольца.
Acute Angle (ограничение на размер острых углов) - определя­
ет минимально допустимый угол излома проводников. Наличие
острых утлов может стать проблемой при производстве платы, так
как при травленю1 в точке излома может возни�нуть разрыв. Вы­
полняются правила с максимально заданными размерами углов.
Holc Size (диаметр отверстий) - определяет минимал1,но и мак­
симально допустимые значения диаметра присутствующих на пла­
те отверстий. Может быть задано как абсолютное, так и относи­
тельное значение диаметра от размера контактной площадки или
кольца переходного отверстия. Приоритет имеет правило с наи­
меньшим минимальным значением и наименьшей разностью мак­
симального и минимального значений.
Layer Pairs (пары слоев) - проверяет соответствие используе­
мых пар слоев парам слоев для сверления, которые �прсделяются
из присутствующих на плате контактных площадок и переходных
отверстий. Для каждой пары слоев один выбирается Start Layer,
другой - End Lауег.
Minimum Solder Mask Silver - определяет минимальную ширину
участка в защитной маске, позnоляет определить узкие места, кото­
рые создают проблемы при изготовлении.
Hole to Hole Clearance - определяет совпадение и пересечение
отверстий до использования инструментария САМ.· Это правило
226
4.6. Установка правил проектирования
также необхо.nимо для исnользооания глухих и слеnых переходных
отверстий.
Silk ovcr Exposed Соррег - зщщет зазор между марк11роокой и
вскрытыми от маски мсталлиз иров:н-шыr.111 ко,пактны�нs плошад­
каr.ш, для избежание ситуаш111 <<Налезаню1•> марю1ровки на метал­
люироnанные площадки .nлн припайки компонентов.
Minimum copper widtl1 - анализ всех участков металлизаuии,
которые бy.nyr сформированы nри nроизводстnе платы, результа­
том которого яnлястся поиск у•шстков !\1еталл11заuи11, которые тех­
нологически не могуr быть изrотовлены.
Silk to Silk C\earance - проверяет за1оры на с:юе шелкографии,
гарантирует отступ текста от м�ркирооки корпуса компонента и
удобочитаемость текстов.
Net Antennae - Определяет неnодключенные у•1астки трасс и
луг на сигнальных слоях. (Незавершенные дорожки, отходsнuис от
переходных отверстиi,i 1tл11 контактных п:юшадок).
в·
227
Глава 4. Разработка печатных плат
Правила, задаваемые дЛЯ высокоскоростных схем (Hjgh Speed)
Paгallel Segment Constraint (ограничение на длину параллельных
сегментов) - определяет длину параллельных сегментов двух про­
водников в зависимости от заданного расстояния между этими
сегментами. Заметим, что данное правило тестирует только два
сегмента проводников и не тестирует наборы сегментов.
la"er Checking Same Layer
1-t·---,�
For а parallel gap of 0.2mm
r�1
�
�
The pмalel limit is 120mm
Для оценки уроtтя перекрестных искажений, которые являют­
ся функцией от длины и размера зазора, необходимо использовать
несколько таких правил для множества параллельно проложенных
сегментов цепи. Установка данного правила не создает конфликта
дублированных правил. Данное правило проверяется программой
проверки (DRC) в интерактивном и пакетном режимах.
Length (ограничение длины проводника) - определяет мини­
мальную и максимальную длину проводника. Приоритет имеет
правило, которое определяет наименьшую разницу между уста­
новленными значениями.
Matched Nct Leпgths (допуск согласования длин цепей) - опреде­
ляет разниuу длин uепей, которые должны бать выровнены по дли­
не. Редактор печатных плат определяет самую длинную uепь (в ука­
занной группе) и сравнивает ее с другими цепями в этой группе.
Daisy Chain Stub Length (ограничение на длину шлейфа) - оп­
ределяет максимально допустимую длину шлейфа для цепей с то­
пологией в виде цепочки. Приоритет имеет правило, которое оп­
ределяет наименьшую длину шлейфа.
Via Under SMD (переходные отверстия под SMD элемента­
ми) - устанавливает возможность размещения переходных отвер­
стий во время автоматической трассировки под контактными плодnоw Vias under SMD Pads
228
0
4.б. Установка правил проектирования
LUадками лля устройств, испо:1ьзующих технологию поверхностно­
го \t0нтажа.
Maximum Yia Count (максимальное число переходных отвер­
сп1й) - определяет максимi:.UJьно допустимое количество переход­
ных отверстий.
В последней группе (Placement) перечислены правила проекти­
рования, используемые при размещении компонентов.
Room Definitio11 (области размещения) - определяет область
(Room), в которой либо разрешено, либо запрещено размещать не­
который набор объектов. Об:1асти размещения определяются с nо­
\ЮШЬЮ команды меню Place> Room. Редактирование областей про­
изводится аналогично любым другим объектам на плате. Установ­
ленные правила проверяются в режимах интерактивной, пакетной
проверки правил проектирования (DRC), а также при автоматиче­
ском размещении компонентов проf1)аммой Cluster Рlасег.
Component Clearance Constгdint (расстояние между компонента­
ми) - устанавливает минимально допустимое расстояние между
компонентам 11.
Verlк:al C\ea,ance Mode --...
O!rfnte
0�fled
Miniltun Veitical Cle�ance
r1
о 5пn
ее
Mirvmum Hoi1zonl<'JI Clea1ance
05mm
О Show aclual violalюn�tance;
(Slower lo compute)
В списке задается один из двух режимов проверки: либо выби­
рается общий зазор между компонентами по горизонтали и верти­
кали (lnfinite), либо задаются отдельные значения (Specified).
Component Orientation Rulc (ориентаuия компонентов) - опре­
деляет допустимую ориентаuию компонентов. Разрешается назна­
чать одновременно несколько видов ориентаuии, что позволяет
программе автоматического размещения выбирать любую из них.
Permitted Layers Rule (разрешенные слои) - определяет, на ка­
ких слоях моrут быть размещены компоненты проrра�fмой Cluster
Placer. Программа Cluster Placer не может изменить слой, rде бу­
дут размещаться компоненты, поэтому нужно устанавливать ero
до запуска программы размещения.
229
Глава 4. Разработка печатных плат
Nets to lgnore (иrнорирование цепей) - определяет, какие ucn11
не должны быть оптимизированы при выполнении пpoueдypoii
Clustcr Placer операции автоматическоrо размещения. Отключение
оптимизации цепей питания и земли может оказать содействие в
быстром и качественном размещении компонентов.
Height (высота) - определяет ограничение по высоте коl\що.
нентов, которые могут располагаться в указанной области.
4.6.2. Алгоритм создания правил
Прежде чем зайти в окно Design Rulcs и приступить к описанию
правил проектирования, убедитесь, что работа ведется в метриче­
ской системе координат, в противном случае значения правил бу­
дут отображаться в милсах. Для включения метрической системы
координат используется строка Units диалогового окна Design>
Board Options. Далее опишем порядок создания нового правила по
шага��:
1 шаг - Создадим новое правило.
'- РС8 Rulм 11nd Comtralnt, (ditor (nim] Для этого в нужной к:пегории нажи­
маем правой кнопкой и выбирае�1
команду New Rule (рис. 4.25).
В списке правил появилось но�ое
правило, которое по умолчанию на­
звано C\earance_I:
Repart•••
2 шаг - Присвоим правилу уни­
E,cpo,tRues.••
IIЦ)OrtR\Jes •••
кальное имя. Перейдем к редактиро­
ванию правила, выбрзв его из спи­
Рис. 4.25. Создание нового ска. Название правила вводится в
поле Name (рис. 4.26), причем все
правил.а
правила должны иметь уникальные
имена, иначе программа не сохранит созданные правила.
3 шаг - Указание области действия правила. Для выбранного
нами правила (Clearance), необходимо указать между какими це­
пями будет действовать указанное правило. Область действия мо­
жет быть указана вручную и с помощью помощников Query Helper
и Query Buildcr. При ручном выборе области действия правила мо­
гут быть выбраны следующие варианты:
• AII - вес проводники платы;
• Net - одна выбранная цепь;
• Net Class - класс цепей;
230
4.б. Установка правил проект ирования
•
€)Net
V
0Noteloss
0Layer
Qо.бУ� •.•
0Notandi.ayet
Quoryblder ...
Advlln<� (Query)
Where Тhе s«ord OЬ)ert l'llt<hes ---�
0AI
о
0Net
0Netelass
QLaye,
Oн.t..-dL�
0Adv�(Query)
flJ Que,y -- --­
.t.11
(t.W',8'jde,.
--]
Обnастъ
дейстэия
Рис. 4.26. Описание нового правила (2,3,4 шаг
• Layer - все цепи расположенные на данном слое;
• Net and layer - определенная цепь на данном слое.
В нашем случае зададим правило, которое указывает зазор меж­
ду цепью GND, которая будет выполнена в основном полигоном
на внешних и одном из внутренних слоев платы. Название прави­
ла пока оставили без изменения, а в области действия следует ука­
зать uепь «GND•>. Случаи, когда область действия задается с по­
мошью языка запросов (Query) будут рассмотрены ниже.
4 шаг - Установка значения правила. Для этого используется
нижняя часть окна РСВ Rules (см. рис. 4.26), причем для боль­
шинства правил имеется картинка, отображаюшая сущность пра­
вила. В нашем случае нужно написать значение зазора между цепь
«GND» и остальными проводниками платы.
5 шаг - Установка приоритетов правил. Области действия раз­
личных правил мотуг пересекаться между собой. Так, например,
цепь земли, которую мы описали ранее входит в наше правило, но
231
Глава 4. Разработка печатных плат
также, она участнует 13 базоном правиле, которое задаст зазоры на
все электрические пр11:-.штиuы на плате. Встает вопрос, какое пра­
вило будет использовать Altium Designer. Если при создании пра­
вил в P-CAD приоритеты были заданы по умолчанию и праnила
uыnолнялись от меньшего объекта к большему, т. е. сначала вы­
полнялось правило на uепь (Nct), зате�• на класс uепей (Net Ciass)
и в последнюю очередь на всю плату. Здесь д.,1я установки при­
оритетов испот,зуется сгтеuнальнос лшuюrовое окно Edit Rule
Priorities (рис. 4.27.), которое вызывается по кнопке Prioritics 1:1 ле­
вом нижнем углу окна РСВ Rt1lcs.
Edlt Rule Prlor1ties
.-
С1)fВ)
!!,.Twe- l�Oe_tJ_эnc_e _________________�-":
-jРrк..,У I Enabled N,rr,e
1
� O..n:e_1
:г
Scope
lrlle4(GND1 • А1
А1 • А1
Cte.!rn:e • 0.3nm
Oea,rce • О 15rr,n
Рис. 4.27. Приоритеты выполнения правил
Правила выполняются u том порядке, в кqтором они перечис­
лены в списке окна Edit Rule Prio.rities, причем, если uспь <,GN О,>
уже указава в псrвом по порядку nрав11ле, то она автоматически
исключается из всех rтослелующих правил данной группы.
Заканчивается проuелура создания правил нажатием кнопки
Apply в окне создании праш1л. Теперь рассмотрю,1 процесс форми­
ронания более сложных правил. Когда нужно в обласп1 действия
правила указать несколько ол.нотипных объектов не связанных мс­
жлу собой, то можно использовать конструктор запросов Query
Builder, для чего используется одноименная кнопка (см. рис. 4.26.)
При выборе Query Builder появляется окно Builder Query From
Board (рис. 4.28), в котором работа ведется следующим образом: в
спнске Condition Type/Operatioп выбираем тип объекта Belong to
Net, а в сп11ске Condition Yalue значснне параметра (злесь имя
цепи) CFG_DIN. Аналогично добавляем вторую цепь CFG_INIТ.
В результате в область дейст1111я будут попалать две выбранные
цепи. Конструктор запросов предлагает r�ыбрап, нз списка крите­
рии, по которым будут выбираться объекrы применения данного
232
4.б. Установка правил проектирования
Ш@
Building O.uery from Вo.,rd
ls1,c,wд1 Le'td$
�· • • • • •J -1
}(
Cmdticn Тwe / Оре,1110,
\(Of'&)nVu
БеЬ,gs 10 Nel
А-
CFG_DIN
IOue,yP,_
ln№t(CFG_DIN)
Бelongs 1о Nl!I
CFG_INIТ
ln№1(CFG_INIТ)
□
Мj�het�t'l,.
1
OR
1 о� 11
Смсеl
1
Рис. 4.28. Конструктор запросов (Query Builder)
правила. Злесь можно указать определенные uenи или классы на
заланных слоях, принадлежность к ко:.шонснп1м или посадочным
местам и т. д.
4.6.3. Использование мастера запросов
для создания правил
Более тонкая настройка правил проектирования выполняется с
помошью окна QLJery Hclpcr. Даваiiте расс:-ютрим использование
этой возможности на конкретных примерах.
Примеры создания сложных правил с по:-ющью языка запросов
Query Helper.
Пример 1. Шаг выводов микросхемы U 1 - 0,2 :.1м, а мини­
мальный зазор между объектами печатного монтажа 0,25 мм, т. е.
nporpa:.1мa выдает ошибку по зазорам между вы1юда:.1и этого ком­
понента и, кроме ncero прочего, не позволяет прокладывать про­
водники от ножек данной микросхем_ы. Сто,п задача в правилах
указать, что д;нr контактных площадок компонента «UI,> разрешен
зазор 1,7 мм.
Для решениs� этой задачи созладим новое правило в группе
Clearance. Укажем название данного правила Clearaпce_FPGA_Pins.
Кстати ничего нс бы,10 сказано по названия:.� правил, рекоменду­
ется оставлять заголовок правила (В нашем случае Clearance_) и
далее в названии, кратко, описать область действия. В этом случае
правило становится интуитивно понято любому разработчику.
Далее, пл.и указания области лействия правила выбираем опuию
Advanced (Query) после чего становится активной кнопка Qнегу
Helper, которую и следует uыбр.ать. В появившемся окне (рис. 4.29)
указаны критерии запроса II операции, которые к ним можно при­
менить.
233
Глава 4. Разработка печатных плат
IsPod and InComponenc( 'Ul' )
(Е1
lnform.11ion
ф �esSl<ЖIISOКI
Оnерации
0Ьtес1 Тwe Checks
Fields
��"
дt11Ые
Checlc.1 •-..,,
L,iye, Checks
(3
Nels
Pcw,rw
р�
rexi
Ccщ,onents
Drnenrons
Coo<dinol�
1 ок
Converlt COOl�I lo mh
д,мм
Со,т,е14 coordriat• to milmel�
Does tt-,ot.i■<:t.......,.iм.-.:a..�..._Emt:sOrt.�
Does
Hыfootprint
Does
H&foщ,rril'od
Критерии
н�sPod
Does
:,anpoca
. 11 lhe
lnClww,eiC\мs
lr,Compc,r,or,I
11 lhe
(Команды)
1� lsthe
ls lhe
lrOlfe,"""w,Poi
lrOifelertr.-P� ls lho;,,::=n�..
• =====v.
lrfromTо
11 lhe oЬjecl r, lhe opeoJ,edFrom-T о
1, lhe ol,jecl;, lhe ,peu,ed �,om-T о Ом,
lrftomT oC/.,,s
•
e1
ОК
�
]1 Ca-cel
Например, выберем группу Membcrship Checks и в ней команду
lnComponent (рис. 4.29). Эта команда позволяет указать принад­
лежность каких-либо объектов к указанному компоненту. Чтобы
узнать синтаксис использования данной команды следует после
выбора команды в списке нажать клавишу Fl, которая показывает
контекстно-привязанную справку и в данном случае будет отобра­
жен синтаксис описания данной команды:
Examples
InComponenr('U /')
InComponenr('Ul) = True
Retums апу component that has а Name property о/ ·u1: along with all о/ the
child objects о/ each such component.
InComponent('U 1: 'U2', 'J /J
InComponent('U/','U2', 'J /') = True
Retums апу componem that has а Name property о/ 'Ul' or 'U2' or
along
with al/ о/ the child objects о/ each such
'JJ:
!34
4.6. Установка правил проектирования
component.
!11Component('U*')
J11Cnmpo11ent('U*') = True
Return.1· апу compo11ent tlшt has а Name prnperty 111hnse associated string stans
111ith 'U', along 1vitl1 а/1 of 1J1e child objects of
each suc/1 component.
В справке есть несколько примеров описание данной команды,
например, как задать один компонент, З компонента и все компо­
ненты, относящиеся к одному типу. Нас интересует именно пер­
вый вариант. Полный запрос должен выглядеть, как показано на
рисунке 4.29, и no его результатам будут определены все контакт­
ные площадки (lsPad) относящиеся к компоненту <•UI». После
описания запроса его необходимо проверить нажатием кнопки
Cl1eck Syntax. Стоит отметить, что проверяются только формаль­
ности составления з,троса, а нс логическая прави·льность.
Пример 2. Общие зазоры на плате в наше� примере 0,25 мм, а
для полигонов земли и питания должен быть выдержан зазор
0,5 мм.
Создаем новое правило под названием Clearancc_Polygon, и за­
даем ему область действия как показано на рисунке 4.30. Теперь
все полигоны будут отделены от остальных объектов на металли­
зированном слое на 0,5 мм.
,�,L-----'
Uпque ю IВXJORl,,IQD 1 ]
[F �у
J.ll
Dite1e,1 Nels D�
_[t"41niJ11um CJ"ac-.mce 05пm
Рис. 4.30. Правило зазоров для полигона
235
Глава 4. Разработка печатных плат
Пример 3. Частая ситуация, к сожалению не представленная в
рассматриваемом примере, когда на плате имеются корпуса ВGл.
В этом случае под такими корпусами невозможно использовать
переходные отверстия, заданные по умолчания для всех цепей.
Особенно это проявляется с цепями питания, для которых �юrли
быть указаны специфические переходные отверстия, обычно боль­
шего отверстия, чем остальные. Таким образом, стоит задача, ука­
зать программе, что под BGA корпусом можно устанавливать
только определенные переходные отверстия.
В нашем случае создадим правило, которое задает тип переход­
ных отверстий под корпусом микросхемы «Ul,>. Небольшое уточ­
нение: данное правило будет привязано не к корпусу микросхемы,
а к участку платы, на котором установлена данная микросхема.
То есть компонент, к которому создается данное правило должен
быть установлен заранее.
Итак, создалим новое правило RoutingYias_U 1, и для выбора
области действия выберем опцию Query Helper. Далее в появив­
шемся окне (рис. 4.31) выбираем команду ln Region из группы
Membersl1ip Check. Чтобы узнать, как описывается данная коман­
да с точки зрения синтаксиса запросов, нажимаем 1'.Павишу Fl и в
появившемся окне будет отображена информация:
/nRegion Memhership Check
Description
Re111rns objects that reside within the region specified Ьу the Query.
Note: The parent objects of g1·oup objects (Components, Coordinates, Dimensi011s, Ners, and Polygons; are not alsn returned.
А/1 coordinпres аге ге/еге11се(/ to rhc ahsvlure origin (anf/ not 10 the user origi11
ог component insertion point), and at present, а//
coordinates a!lvays use units of mils. ( 1 mil = О. 00 I inch)
Note: This is ап alias /ог /nRegionAbso!ute (Membership Check).
Syntax
fnRegion(Xl: Distance_String, У1 : Dista11ce_S1ri11g, Х2: Distance_Srring.
У2:
Distance_String) : Воо!еап
Each instance of Distance_String is а numerica/ string tha; specifies а coordi­
nate in tl1e РСВ (Library) file. 771е left ат/ rig/1t
houndaries of the region аге specified Ьу tl1e Х J and Х2 paгameters, wl1ile tl1e
!ower and иррег boundaries of the region аге
specified Ьу the У/ a11d У2 parameters.
Example
lnRegion(/2700,4700, 13300,5000)
236
р.
4.6. Установка правил проектирования
lnRegion(l2700,4700,13300,5000) = Тгие
Retums objects residing 1vitJ1in tl1e rectangular region bounded Ьу Х = 12700
and Х = /3300, and Ьу У= 4700 and У= 5000;
those coordinates are referenced to the abso/ute origin.
Отсюда видим, что нам надо задать левую нижнюю координату
области платы и правую верхнюю, причем значения координат за­
даются только в милсах (mil) и относительно точки привязки.
Убедившись, что выбрана дюймовая система координат, и начало
отсчета расположено в левом нижнем утлу платы, определяем ко­
ординаты области под компонентом.
'1 КВ Rllles •nd (onttro1nh (d11er (mll]
.._."
...
,.,...,
)( з.,,,_..
(i'fxl
Al+f♦
r .:ОР°""'С!
(1.,!.W'�
.';'Wdh
.:;f!°""'C!loodcQ,
.=Rcu,,glqd,Q,
B.':.�oh,o�
.-.А�о�
l;'�P-.,L.,..,.
-.�--��.. �
-=�
1:. .-; Ас,;"11 с-
.a-;�..,.,.v"s....
•..цр.••,
-------
...,,oj NiA
Е � r.-oJ Соrц,1
ы•s.....11оо1.1_,...,
равило зазоров dля nepexodнt>1x отверстий
в заданной области nлamt>l
В нашем случае запрос выглядит, как показано на рисунке 4.31.
После описания области действия надо задать значение правила.
которое хотелось бы указывать и просматривать в миллиметрах.
Можно не закрывая окна с правилами
еключить единицы из�r
мерений на мм, нажав пиктограмму �, в левом верхнем утлу
окна (рис. 4.31) или нажать комбинацию клавиш CTRL+Q.
Количество правил, которые можно задать с помощью языка
запросов ограничивается только человеческой фантазией, и рас­
смотреть их вес в рамках данной главы не предоставляется воз­
можным.
237
Глава 4. Разработка печатных плат
-
Мы рассмотре:1и способы задания правил проектирования по­
средством команды Design> Rules. но в программе A!tium Designer
есть альтернати1шый способ задания правил - помощник Rulc
Wizard, который запускается посрелство�1 выполнения: Design>
Rule Wizard. В появившемся окне нажю1аем Next и nporpaм�ia
предлагает выбрать тип правила из всевозможного списка. В
_ ыбира­
ем правило Clearance, в поле Name пишем имя Clearance_GND 11
нажимаем Next. Далее программа предлагает выбрать область дей­
ствия правила, что описывалось выше, выбираем I Net и нажимаем
Ncxt. В следующем окне предлагается указать конкретную uепь,
выбираем GND. Следующий этап - установка приоритета дейст­
вия правила, после чеrо программа спрашивает о необходимости
занесения правила в список включенных правил и предлагает за­
вершение: нажимаем Finish. После чего открьшается окно РСВ
Rules, в котором необходимо ввести числовое значение для данного
правила. Таким образом, мы рассмотрели 2 варианта установки
правил проектирования: псрвыii вариант при�1еним для создания
полного списка правил мя всего проекта, а второй - позволяет за­
дать правила поэтапно, 'IТО 11сключает 011.1ибку. Создание правил с
помощь мастера полробно не описывается, т. к. выполняется суще­
ственно проще ручного варианта и, обычно, нс вызывает трудно­
стей у начинающих пользователей.
После описания правил проектирования рекомендуется настро­
ить список правнл, проверяеш,,х online, и список, проверяемый
принудительной проверкоii (DRC), об этом см. гл. 4.11.
Более подробно ознакоl\11пься с правилами проектирования
можно в файле справки TRO 116, а с особеннщ.,ями языка запро­
сов - в файле TROl 10.
4.6.4. Сохранение и загрузка правил
Созданные однажды правила могут быть зат,саны в отдельный
файл и впоследствии загружены к другим проектам. Базовая часть
правил, в которой прописаны толщины основной массы провод­
ников, толшины класса цепей питания и земли, переходные от­
верстия, настройки полигонов, общие зазоры и многое другое.
Для записи правил н отдельный фаiiл, нужно в окне РСВ Rules
and Constraints нажать кнопку Apply, ,побы все правила вступили
в силу. Затем в списке правил нажать правую клавишу мыши и в
контекстном меню (см. рис. 4.25) выбрать Export Rules. На экран
238
4. 6. Установка правил проектирования
-----------
11Jf8:I
Choose Desfg,I Ruie Туре
1'
Dlf"'enl"" Ра, AO<JnQ
ес41:,SMD То Comer Constr­
1
6
SMD T o Plмe Cmwмi
SMD tled<. Down Соr,,ьмi
S- Ma!t E,q,an,,on
Рм\е М•. E,pon,cn
..•
F'owtl'llr.CaмodSwle
r-Areae.ance
�,_.8-••с._,
�А
т .. -��� -·-
<IQI ,t
�,
'
-• •
...
.•
1 e1tr,,n Sl)A,,
1 e,':>ori U"'l:)e
Mrwr,п Arn.Jl.w Rrg
Ac<Je дr9е Cc,mbar,t
Нм S1Ze Ссn.ьмt
l�Pмs
ок
1[ с�
Рис. 4.32. Сохранение правил
будет выведен список всех доступных правил (рис. 4.32), из кото­
рого нужно выбрать необходимые правила для импорта.
Проще ncero выбрать вес правила, выбрав первое и с зажатой
клавишей Shift - последнее. При необходимости указать конкрет­
ный набор правил, следует их выбирать с нажатой клавишей Ctrl.
После нажатия кнопки ОК, программа предложит сохранить файл
с расширением *.RUL.
В последствии фаrш *.RUL может быть загружен к любо�tу про­
екту, при этом последовательность действий д.1я импорта такая же
как при экспорте.
239
Глава 4. Разработка печатных плат
4. 7. Размещение компонентов
Основным этапом разработки платы, который, в общем-то, и
определяет, какой будет эта плата, является процедура расположе­
ния компонентов на плате с учетом технического задания и фор­
,шрование топологии печатных проводников. В данной главе бу­
дут рассмотрены все ручные и автоматические средства по разме­
щен-ию компонентов. Указанная работа должна проводиться с
учетом схемотехнических особенностей разработки, поэтому здесь
же будут рассмотрены все вопросы, касающиеся параллельной ра­
боты с"ема-плата.
Для описания всех инструментов размещения компонентов и, в
дальнейшем, трассировки проводников, а также приемов одновре­
менной работы со схемой и платой, воспользуемся проектом Ро11
Switcher (Altium Designer\Examp1es\References Design\...). Описан­
ный проект содержит несколько документов схем и один файл
платы, в котором имеются загруженные компоненты и установле­
ны простейшие правила проектирования. Прежде чем использо­
вать данный проект - удалим из него существующий файл платы
и создадим новый, который пока будет пустым.
Несмотря на то, что в программе Altium Designer имеются авто­
матические и полуавтоматические инструменты размещения ком­
понентов на плате, любой более-менее опытный конструктор зна­
ет, что ни одна программа не разместит компоненты на плате луч­
ше человека. Учитывая малую эффективность автоматического
размещения, здесь будут рассмотрены только ручные и полуавто­
матические средства для размещения, к которым относят инстру­
менты группы Tools>Component Placement. Большую роль здесь
играют, так называемые, <•комнаты,) (Room) - область платы за
которой закреплена некоторая группа компонентов. Прежде чем
приступить к описанию инструментов полуавтоматического раз­
мещения, посмотрим, как сформировать комнаты на плате.
При передаче информации из схемы в плату Altium Designer
всегда автоматически формирует комнаты на плате, если это не
отключено в настройках. Комнаты формируются согласно листам
схемы, и наиболее целесообразно применять комнаты в тех случа­
ях, когда имеются одинаковые фрагменты схемы, которые на пла­
те должны быть реализованы тоже одинаково. В нашем случае
проект содержит несколько блоков PortlO с индексами А, В, С и
D в правой части главной схемы (см. рис. 4.33).
240
4. 7. Размещение компонентов
с,
j
1:1
;..
с,
lii
>(
Рис. 4.33. Структура проекта
241
Глава 4. Раз,оi'lботка печатных плат
Предпо:1агастся, что открыт проект Port Switchcr и в нм создан
новы�i фа1°1:1 п.1аты � вилс квадрата с размерами 150Х!50 �1м, а так­
же 11нфор\11ш11я 111 схем перешша на плату командой Dcsign>
Updatc (с,,. г:1. 4.4). Ранее в P-CADc были две разли<Jные проuедv­
ры, во-первых загрузка списка сосд11нсний (NctList), а, во-вторы:'< .
загрузка сниска 11з�1енений (ЕСО). В Altium Designer оба эти дей­
стш1я за�1снсr1ы одни��, и это дсйстnие может выполняться в лю­
бой .,ю:-.,снт работы с nроск-10:--1, при•1см можно синхронизировать
нс то.11,ко с.\с�1у с п.1атоИ, но 11 п,1ату с ПЛИС, ПЛИС со схемой
11 т. л. д.1я с11нхронша111::1 с\с,11.,1 с п,1ато11 (нлн д..1я н:::рвичной за­
груж11 э.,с\lснтов со схс:-.1ы на птпу) нужно, находяс�, в редакторе
схс�,. выr10.1н1п1, ко,1анду Desig11>Updatc РСВ Documcпt *.PcbDoc,
после чего по>1111пся окно с надписыо E11gincering Changc Order,
'3JJaK0\1011 1кс\1 рюработч11кам P-CAD по аббревиатуре ЕСО. Здесь
псре•111с.1сны псе от:11!'111я �1сж,1у дву,1я 110ку,1снташ1 и преллаrает­
сн выбрал, пункты для 1щпорта нз:-.,енсниfi. В самом конuе этого
сп11ска 11\1еется группа Add Room, которая добавляет созланные
ко,11нпы на 11:,ату. Д.1я внесения из,1е11сний n плату нужно после­
..:юватсщ,нl> на,:<ап, кнопку \'alidate Cl1anges, которая проnсрит ноз­
\ЮЖttост1, внссен11н указа1111ых 11.1\1снений, 11 затем ExectJte
C11a11gt:s 11 Closc. В рсзу.11,татс 11ро,1слш1ных действий на плате поя­
вятся КО\lнаты. которые распо:тож11.111сь автоматически в нача,1с
коор.111нат.
Эп1 ко,111:пы нсобхо;вшо распо.110ж1п1, на плате с учетом распо­
,1ожс11ш1 групп КО\1понентов, которые привязаны к этим об,1астям.
П p1tt1c,1 рас110.1агап, области n площа,111 платы следует аккуратно.
Сто11т по\lн1п1>. что пр11 псре:\lешсн11и комнаты перемещаются и
ко\1nонсmы. :.н\креп,1ен11ые за ней. Поэтому LL'lЯ поз11uион11роnа1111я ко\1наты нужно се 1н,1.1ел�пь, а з.1теl\1 менять форму и положе­
н11с. перстаск1шая \1етк11. расположенные в вершинах КО\!Наты.
Дю1 данного проекта с:1сдует распо.1ожить комнаты так, как пока­
зано на р11сункс 4.34.
Тепср1, \IОЖНО присту111пь К раз�1ещсн11ю КО\!ПОнентов. Снача­
.lа раз:-.1естш1 ко\1по11енты. относиш11сся к комнате PortOI. Пр11чс,1, псрвt,1\1 .1сikтвие�1 перс,1ести\1 вес ко�тоненты, относящиеся
к ко,111атс n соотnстствующую ко:,,111ату, затс\1 более корректно
раз\1сспщ К()\1по11снты внутр11 нужного участка. Выполню.� ко­
:-.1ан:1у Tools>Cornpu11c11t Pacc111e11t>Arra11ge Witl1i11 Room, которая
псрс\1сшаст ко\1понс1пы пр11надлеж.111111с указ.�нноi'! комнате, и
выбсрс\1 на п,1атс ко!\1нату РогtО 1. Выполнсннан ко�1анда нс учи-
242
4.7. Размещение компонентов
Рис. 4.34. Размещение компонентов с помощью комнат (Room)
243
1 лава 4. f'азработка печатнь1х плат
тываст каких либо прав11:1 проектирования, а просто переме щает
элементы в указанную комнату. Теперь разместим более прав иль­
но элементы вручную (рис. 4.35). Причем во время размещения
можно пользоваться интуитивной подсказкой в виде линии связl1
нвет которой �1снястся от красного к зслсно�1у. Зеленый цвет го�
варит о уменьшении су�1марной JU1ины uепей, отходящих от еы­
бранного компонента (рис. 4.35).
После размещения компонентов, принадлежащих к комнате
PortO 1, можно автоматически разместит�, три комнаты, состав ко­
торых совпадает с той, которая была размещена в ручную. для
этого выполним команду Desig11> Rooms>Copy Room Format, кото­
рая позволяет копировать параметры комнат. Выбрав команду,
Рис. 1.35. Работа с комната.ми
244
4. 7. Размещение компонентов
�lпn Ch.1111111\ fomi.l Сору
SoщeRoom
�rCJ,omo!O.n
7 )(
/
-d-10 -----,
/r-:Po
I�
Oi,t,ans---��
1::::::::
------г0CcpyC_,.,-.t�
---------ш
QCcpyOЩ1>0ifЖi С..М- famмong �
OC4'1•AooJ,dNe1,
0CcpyAoomSRel51- -
□ Sе1е<1еdоь,ю, о�
Г::.,
---=-------ш
�
;d 10 Chomol Comoonent м�
ОК
![ с-,1
Рис. 4.36. Копирование параметров комнаты
курсор приобретает вид крестика, после чего нужно последова­
тельно зад.ать комнату-образец и конечную комнату.
[3 нашем случае сначала выбираем комнату PortO 1, затем
Port04 и n появившемся окне предлагается скопировать следую­
ш11е параметры (рис. 4.36):
1. Размещение компонентов u комнате.
2. Положение и форматирование позинионного обозначения.
3. Топологию внутри комнаты.
4. Форму и раз�1сры комнаты.
5. Прнче1ь выше1:ерс•1исленные команды нс ко все:.1 объектам
l(O.\IHIOЪ�. u только к выfiрэнным ..
6. Bьil'iiipae�; пар,1щ�тrы, пн1сченные на рисунке 4.36 и кноп­
кой ОК ·,авершае.1 рз1�1ешсни<.: К()мпонентов второй ко�1наты.
Стоит оп1спП1,, то после лого ко:.шата которую офор:-.шяли по
обrазuу стала раз�1ешена вертикально и проrра:\1ма не вышла из
инструмента копирован1щ фор�1ата комнаты. поэтому выполняем
ту же самую команпу для остальных типовых комнат, пос.1с чего
правой кнопкой выходим из этого инструмента. После этого мож245
Глава 4. Разработка печатных плат
но перемещать комнаты с расположенными в них объектами, Г!о­
этому позиционируем 4 комнаты, с которыми велась работа, так
как они были размещены на предыдущем этапе (согласно рисун�
ку 4.34).
Описанная ситуация, когда на плате и:-.1еются несколько одина­
ковых каналов, встречается не очень часто, но разные элеме нты
описанной последовательности мо:жно использовать II в других си­
туациях. Рассмотрим теперь более востребованный инструмент, ко­
торый позволяет размещать компоненты на плате кластерами, по­
штучно. Выrлнди это следующим образом: на схеме выделяются
компоненты, которые по схемотехническим соображениям на плате
должны быть размещены определенным образом и заранее извест­
ным способо�1 (наиболее часто это относится к микросхемам с эле­
ментами их <<обвязки,>), и затем на плате специальный инструмент
будет предлагать размещать эти компоненты поштучно в едином
uикле. Посмотрим, как это делается на конкретном примере. Преж­
де чем приступить к решению описанной задачи, убедимся, что на
схеме и плате включена опция Tools>Cross Select Mode, которая
обеспечивает одновременное выделение объектов в обоих редакто­
рах. Теперь на схем_е FPGA Sheet l .SchDoc выделим комп.онент U IA,
затем переключимся на схему FPGA Symbol l .SchDoc и рамкой вы­
делим все конденсаторы в нижней правой•части схемы. Открыв по­
сле этого файл платы, можно заметить, что компоненты указанные
ранее на схеме, выделены на плате. Далее выполннется команда
Tools>Component Placement>Reposition Selected Components. В ре­
зультате выполнения описанной команды, программа будет по­
штучно предлагать устанавливать выбранные компоненты, причем
начиная с микросхемы, которая на схеме была выделена первой.
Разместим последовательно микросхему и относящиеся к ней кон­
денсаторы, как показано на рисунке 4.37.
При этом, наверняка, нс у каждого разработчика получится ус­
тановить конденсаторы ровными линейками (не потратив на это
большого количества времени). Поэтому, после размещения эле­
ментов их можно выровнять в указанном порядке или с заданным
интервалом, для чего используется группа команд Align. В нашем
случае, выделим рамкой группу конденсаторов слева от микросхе­
мы и выполним команду из под правой кнопки мыши Align>Align
Right (выровнять по правому краю). В результате будет получено
более удачное размещение выделенного фрагмента (см. рис. 4.38).
246
4. 7. Размещение компонентов
Рис. 4.37. Последовательное размещение выбранных объектов
247
Глава 4. Разработка печатных плат
Рис. 4.38. Выравнивание компонентоtJ (Edil>Align)
Группа кощ1нл Alig11 ловольно-так11 широкая и нет смысла ос­
танавливатьсs� на кажлой команде, т. к. большинство из них ин­
туитивно-понятны �111огю1 р:11работч11кам. Остальное размещение
комnоне,поn приведено II файле cxamplc_rulcs_Fнll.PcbDoc.
4.8. Создание классов цепей и компонентов
Д.1я объед1111ен11я о классы объекты разного n1na используется
команда Desig11>Classes. На рисунке 4.39 показано окно и описаны
основные шап1 по фор:--.111рован�1ю класса uепей. В левой части
окна Objcct Class Explorcr перечислены осе виды классов, которые
мor)rr бып, созш111ы u Altillm Dcsig11cr:
• Nct Classes - классы ueпcii;
• Componeпt Classes - классы ко:--.шонентов;
• Layer Classes - классы слоев;
• Pad Classcs - к.,1ассы контактных п.1ощадок;
248
--
4.8. Создание классов цепей и компонентов
о From То Classcs - классы J\1:-\ршрутов;
• DifТere11tial Pair Classcs - классы .111фферен11ш1льн�,1х пар;
• Design Cha11nel Classes - классы кана;юв;
• Polygon Classes - классы полигонов.
Для созланю1 нового класса наао нажать правоii клавишей
uнутри нужной группы классов и в контскспlО\t :-.1сню выбрать
Add Class. В списке будет доб:шлен новый клзсс 1юд ус.11овным 1ы­
званием New Class, который при 11собхощшоt:т11 :-.южно псре11\1с­
новать, нажатием на нем правой кm1n11ш11 ш,1ш11 и оыборе Rcname
Class.
t;,1Ъь,..:,а;;..
• ,dNolO.Uu
l!ЗltЩ1E OJ
&;s 1',6(16 0)
!:!INQ16 DJ
ОUЮ16
P!!OGRAМ
.
�,н 1)5
�N
t:301
s:tщ
!:'tO(
f:i
f'l"RJN
RS232_1t,(
RS�З2_1X
R1S
"""'""°"'
=��Ckt«-•
0 PCB."-<1D
0РоооОА
1) f'allOB
IJ Ро,,ОС
OPt.ctJOO
OUJPGдS-1
\) U_Ff'<..,д S,.-Ьdl
U U_Ft1_m,,,1D
U U.PCВ.RSZЗ::ID
0 <AIC.._....,.,
<lldlom Sode С_..,,.,
0 <1щ!t801•Н:.•-"""
<OuAle �oood �1)
0 <Toc>Sodeeo.-,.,t1>
АХ
1(1\
fO\.JIARD�•
TCJ. SOFI_U
Добавление
выбр:111ных цепей
Дu61ШJ11.-1111е цeneil,
вы:.еленных на плnе
u
G�L-Oь;�,
9<AIL..,,...,
Оо,е
Рис. 4.39. Формирование класса цепей
После этого для работы используются два окна: Noп-Mcmbcr и
Member, в первом показаны все доступные неп11. а во nтopo�t непи текущего класса. Для л.обавлсния непсii в к.1асс. 11х нужно
выбрать в списке и нажать кнопку лобавлсн11я uспей (см.
рис. 4.39). Также имеется воз:-.южносп, л.обав.1яп, в КJ1асс ueпi1,
выбранные на плате (схеме), эти кнопк11 п1кжс показаны на ри­
сунке 39.
249
Глава 4. Разработка печатных плат
4.9. Трассировка проводников
4.9.1. Оптимизация цепей путем перестановки
эквивалентных выводов и ячеек
Быстро растущая интеграция· электронных средств ведет к по­
стоянному уплотнению монтажа радиоэлементов и соответственно
увеличению соединений на печатной плате. Задача размещения
элементов на плате порой бывает очень трудной, ведь необходимо
не только учесть схемотехническое решение и конструктивные
особенности, но и хотелось бы минимизировать мину соедине­
ний. Первые два требования являются основной задачей разработ­
чика печатных плат, а третья задача может быть значительно упро­
щена при использовании логически заменяемых частей на плате
(выводов, частей микросхем и т. д.)
Сваппирование выводов элементов (логических частей микро­
схем), это процедура замены расположения элементов, имеющих
одинаковое логическое значение, мя минимизаuии мины и избе­
жания перекрешивания соединений. В программе Altium Designer
имеется очень мощный инструментарий для решения данной за­
дачи, позволяющий на любом этапе управлять элементами, при­
годными мя сваппирования.
Для применения операuии сваппирования .компоненты исполь­
зуемые в схеме должны быть предварительно подготовлены, т. е.
необходимо описать логически равные выводы и части микросхем.
В A1tium Designer данная процедура может быть выполнена на раз­
личных этапах, но разумнее всего указать необходимые данные уже
на этапе создания библиотечного компонента. Например, микро­
схема 74НС32 показанная на рисунке 4.40, состоит из 4 лоrи•1еских
частей, каждая из которых эквивалентна друг другу, причем внуrри
одной такой части входные выводы также эквивалентны.
Для того чтобы описать условия эквивалентности выводов и
частей микросхемы, необходимо в режиме редактирования биб­
лиотеки выполнить Tools>Configure Pin Swapping.
После выполнения данной команды открывается окно, пока­
занное на рисунке 4.41, в котором представлены все компоненты
данной библиотеки. Последние два столбuа показанной таблиuы
указывают об уже описанных экнивалентных частях (Pin Swap и
Port Swap). В данном окне необходимо выбрать компонент для
описания и нажать кнопку Coнfigure Component, после чего поя­
вится окно покаJанное на рисунке 4.42.
250
4.9. Трассиров1(а проводников
t:1 IC
UIIВ
! INТC �
13
7-1НС32
u!ID
ГМЕ�
7-IНС.:3:!
1
i.:11.\
�L
74НС32
Рис. 1.40. Микросхема, сосmоящая из четырех логических частей
Cotnpo11t111 l11l•r111•1iu11
l>t"!JlO'OI
Cormol
ELIOROR8'
fOOljlr(
�8 112
U,,a,y Ad_,,..
ШСТRОАВ
fPN
Rf.SI
2
9
PWIO
f't\lP21
Р2МО
RESl'A0:.6C •
s;м
нsРю. есожсN
RES1
S'WDIP-4
�OIP-8
SN7.з2
'
1DIPIC
2
2•
SWDIP-4
о
7С12
1LI�
f,7
SWt'IP-8
16
tC
С
I М4!
ок
Jl4f1Ci
11
C-el
Рис. 4.11. Окно выбора элемента для ввода инфирмиции
об эквивалентных частях
В данной таблиuе слеnа в группе Рiп Sratt1s показана наt1альная
информация о выводах компонента, которая нс подлежит редак­
тированию. Справа в группе S\\·ap Coлtrol Fields поля для указания
эквивалентности. В данном случае для микросхс.,1ы показанной на
рисунке 40, задастся следующая информания: во-первых в графу
Part Group ставится единица, что говорит о том, что ncc 4 части
м�tкросхсмы могут меняться местами, но-вторых в графу Pin
Group напротив эквивалентных nыволов ставятсн одинаковые
цифры. Стоит отметить что информация обэквнвалентности мо­
жет быть любым текстовым символом, как в графе Pin Group, так
251
Глава 4. Разработка печатных плат
Conflgure Pln Swepplng For [5Н7432 fn 4 Port_Seri41 lnt.rfac ••• �
Pi11 Sм111�
---�-$_w.,p Ccщ�iel•�
p,yi No Pr,G P,wtGro.. P,wtSeq,,
о...��- Туре
1
j
lni,u
1
11
lfl>'A
1
11
2
З
Ощ,оJ
5
6
,�
Ollpul
2
2
Powet
О
4
7
8
9
10
11
12
13
14
1�
6ND
о�
1�
11"(.d
Outp..t
1�
1�
Ро,,е,
vcc
1
2
з
Э
З
4
4
4
о
21
21
1
З1
З1
41
41
ок
j [ t:..ncd ]
Рис. 4.42. Таблица описания эквива.11ентности вьиюдов
и частей компонента
и в Part Group. В нашем случае внутри каждой части микросхе:-.1ы
74НС32 Аходные вы,юды экnиnалентны;поэтому у выводов 1 и 2 в
графе Pin Group стоят е;н1юшы, у uьшодов 4 и 5 двойю• н т. д. По­
сле nнссею1я информании об эквива..1снтносп1 частеi'� закрываем
вес окна нажап101 ю-юпю1 ОК.
Чтобы оп11сан11ыс данные всту­
• 'Х
'.iOtl....
шr;ш в силу на схе:-.1е необходи:-.ю
l�
внести эт11 изменения из биб.1иотс­
:-=:========--====--::::::
�--0$:С!фоn
--=гi
ю1 в схему. для •1его выб11рае\t необ­
ELECTRO _ Eltct�C�orR8m..
хош1:-.1ый компонент в 11ансш1 SCH
iJ P2NIO
24 Pltl ТТL VERSAТILE Р...
URES1
Library, и после нажатия правой
U RESPACK PESIЯOR NEТWORK 8 _
кнопки мыши nыбирзем Update
w-cu•cм м
:i'
Sele<t l!I
Sct1en,atic Sl1ect.
t}SWDIP-4
U 5\IIDIP-8
Следующим действием обнов,1я­
Мo<ie!М8',agor
0 1L16C554
ем
плату 1:1 соответствиlf е изменс­
Серу
ю1ям11
на схеме, для чего в режиме
� м Ct.t
Р�е
_____ редакт11рования платы выполняем
_ _
Design> Update
iп
Schcmatics
GsNщffil Oe!et_•
L) SN74$32
*.prjpcb.
Рис. 4.43. Обнов.11ение
В редакторе печатных плат для
компонента из биб.11иотеки
уnравления сваппирош.н111ем вывоv
u;1:u�e
252
4.9. Трассировка проводников
tt,dьte Ftom РСВ LЬ-111� ..
f:PGAS.,,,lll�...
Pi1/'Part ��
r-.......,.-----,===-===,=--:-:!L..--r-=.
�om,,t,c №� Optwшer "
/ �sР,оЬе
!mtactrve
.:)- Cross Sele<t МОс1е
:..==::....;=a.J
r.vt �
!rte<ec\Ne �leient\!Jl-l'er �
�ert
Tt111drops
Рис. 4.44. Группа кшtанд для urzrnuдuзaцuu цепей
дов предназначена группа кu,1э.нд. m,1зывuс\1uя по Tools> Pi11/Part
Swapping (см. рис. 4.44)
Здесь имеются следующие команды: Aнtomatic Nct/Pin
Optimizer
автоматическ,,я опт11мизащ1я uсп�й и выводов,
Intcractivc Pin/Net S\.\'appi11g - <.:вш1п11роuэ.1111с вы1юдоо, l11tcructive
Part Swapping - свапnировuнис частей :щ1кросхе:-1, lпtcractive
Differcntial-Pair Swappi11g - свапп11рован11с проuо,1ников л11ффе­
ренциальных пар. Последнин команда u ,�э.111юi\ группе
Configure, предназначена мя описания эк111шх1с11пюсти, эту зада­
чу мы выполняли на этапы формирования 6116,rнютск. Пр11 выпол­
нении команды Tools> Pin/Part Swappi11g>Co11Гigure, пошзлястся
окно, показанное на рисунке 4.45.
o..i,�c.,,,,,�
я,
O::llalt.11
llo!
RP1
п
А1
s,
Sl
UIO
U2
UJ
U4
и�
"�
БASLA!il>RESS с1Р1,
INHlll..f'I SELtCt r,IF-8
�DIP1•
1':IМО
Н!IЭ
OIP'•
,ога
148!1
1485
U6
Н8'
Х1
,�
.....
U7
U8
U9
u,1
,,... р.,., 1PnS,мp 1 1!'""�-�5-
Compoнtnl l11tc-.rm.111e,1•
u...,11,1....-ц
fсщ,,<
aJtlAIЬ."11
61
ЕСЫВ.М
IЦSI
l
дЮАI.О•
AfS1
l
д;cAj,Q.
A(SPJ>D;. IICC/ollof(1, 1 5
lf1
нгэ
1485
1S.1:!-IN
7<t<СЗ2
[l:oti,g.,eC..-.
00'11
[1/Р'4
О/1''4
OIP14
Dll"I
OCPII
[)jP,C
ХТАl.1
О Р11
$WOIIЧI
SWOIP◄
i'22'i\Q
100_1
11911 1
"
,.
8
,.,.
,.,.
,,
,.
Caнnqm■ Jn S(h�rna
111
111
111
111
111
111
1/1
1,
,� 1
·�-'
1саз_1
t'89.I
148!1 1
1439 1
CRYSTAI.
<>11'32
..
14
2
11
i&гit:I
12ПI
о
о
о
tznl
19191
(16116)
D
18181
о
а
о
оо
оо
12'12'\
(Н/111
jH/1◄1
(141141
(1.\/111
(1.\/11
fl.\/111
11011,1
11111 •1
111
111
1/1
111
'11
111
л
111
1/1
1/1
! n•Ы• ,n Р(В
18/111
о
n
о
12121
tl.\/111
:--..;
1 Qo..,si-r,.._...,.,.,,s....,.,."'"""'
01.
11 с.,.,,1
Рис. 4.45. Конфигурация компонентов для оптимизации цепей
253
Глава 4. Разработка печатных плат
PCD
• "'Х
о,
Показанное окно похоже на од.
НОИ\1СННОе окно в редакторе 6 11 6.
компонентов, за исклю.
_'Jиотечных
Сtщ,,;,,,еп
□ оь1.,,t Spec_d_ic__�-�--- чен11е:-.1 последней графы ЕnаЫс in
L�
Т�еуе,
РСВ. n которой произнодится вклю­
U11
�
1 с1 1 11е или выключение данного
•
74НСЗ2
CO"'t'XV! Con•'!:!i
Lос1с $trrgt
пр11 свапп11роваш111. Опи­
эле111снта
[J
Fc,o/prl
[)IP14
са1 1 1 1 ое лсйствие более удобно вы­
Ch.viмl�--- 12
полнять •�срез панель lnspector (см.
��
,..U:-J>.i Swaнr.g
4.46). которая nызывастсн на­
рис.
.Er3ЬlePertS��
86,---e.r=жатием К'!авюш, FI I при вылслен9271Ы
X1
1юм KO!\IПOltCIПe.
У1
(;(t,tml
После описания эквивалентно­
f "'!t'(
0-4
вьшолов и частеii ;\tИкросхсм, 11
сп1
Рис. 1.46. Ра.зрешен.ие
и запрет перестан.ооки
вк.'1ю'lен1111 этих oпuнii для сооmет­
вынодов и ячеек
стnуюuтх компонентов на плате
можно перейти к самой проuедурс
св,.пп11rюваю1я. которая как уже было описано :\южст быть выпол­
нена paJ_11J t1ны\111 способа:ш1. Самый простой nар11ант - выпол­
н11ть автомат11чсское с11<11ш11rован11с, с помощью команды
Tools>Pin/Part Swappi11g>At1tomatic Nct/Pi11 Optimizcr. В этом cлy­
'lat: 11рогра\1ш1 булет 01п11.ш1:тровать рпспо.'!ожснис выводов и
ча(;тсii :\lltKpO(;Xt:\I п1к11\1 образо:\1, чтобы максима льно уменьшить
су11щар11ую iL'111нy свюеii II избежап, псрскрсш11ваrшя. При завср­
шсн1111 ко�1ан;1ы Automatic Net/Pi11 Optimizer программа 11ыдаст
сооб111с1111е о проде_1ан11оii рпботе. в виде юменсн11я суммарной
дл1111ы 11cneii (:по сооб11н:н11с II результат работы показан на ри­
сунке 4.47).
Автоr.1�т1•1сское с1щ111111рованис псрсстаnляет местами и выводы
и части микросхем, разре111енные для сваппирования. С помощью
команю,1 Tools>Piп/Pan Swapping> lnteractive Pin/Net S\vapping
\ЮЖНО ныnол�шп, сuаппнров.11111с выполов одной указанной мик­
росхемы. Порндок 011cpaш1fi при 11спользоnанни данной команды
такоii: после nыборп ко\1а� 1лы все nывол.ы, разрешенные для свап­
п11rова1111я подсвс 1ены (р11с. 4.48а) и необходимо выбрать вывод
для за\t�ны; после выбора вывод;:� будут подсвечены те выводы
\1нкросхе\1ы, с которы\111 разрешено поменять :\tестам11 выбранный
выоо,1 (р11с. 4.4Sб); 11 наконсн. 11ослс выбора второго вывала они
меняются 111сстам�1, 11 mш11и с11яз11 псрер11совываютсн (р11с. 4.48в)
По 11охожс:\1у а_1гор11п1у про11схол11т опсраuия сваппирования
частсii ш1кросхе�11,1. После выбор;:� команды Tools>Pin/Part
1
254
4.9. Трассцровllа проводников
C,�sPIO.Xodl'r'Ol't 11-t&TCI 1001(,:let.-7,tl)
[],er-.edf.,. 0000:ОЬ
Рис. 4.17. Ре.1ультат ньтолнения команды
Auiomatic Net / Pin Optimizer
Рис. •1.48. Результат выполнения командЬl
lnteractive Pin/ №t Swapping
Рис. 4 .49. Резул1:тат выполнения ком,:нl)ы
/nteraclive Part Swappinf!
255
Глава 4. Разработка печатных плат
S\vapping> 1 nteractivc Part Swapping на плате будуr подсвечены все
микросхемы, состоящие из логи,iеских эквивалентных частей
(рис. 4.49а). Далее выбирается вывод одной из логи,1еских частей
после чего все выводы принадлежаuие данной части будут затене�
ны (рис. 4.496) и наконец, nыбирается вывод другой логической
части, с которой необходимо поменять выбранную, после чего
происходит смена частей и перерисовка связей (рис. 4.49в)
Используя операцию сваппирования можно не меняя располо­
жения компонентов добиться значительного упрощения тополо­
гии трассировки проводников на печатной плате.
4.9.2. Интерактивная трассировка
В редакторе P-CAD данная задача могла решаться с помощью
команд Maпual Routc, Advanced Route или с помощью одного из
лвух автотрассировщиков (Spcectra 11ли Situs, для P-CAD 2006).
Здесь имеется примерно схожий инструментарий, который в от ли­
чие от P-CAD облuдает бо.'lьшей гибкостью в настройках. Начнем
и интеракпшной трассировки, которая в Altium Dcsigner оконча­
тельно вытеснила ручной режим. Прежде чем приступить к трас­
сировке, следует ознакомиться с некоторыми настройками, кото­
рые будут полезны в режиме прокладки проволников. Сначала об­
ратимся к настройке ОХР> Prcfereпccs>РСВ Editor>General. Здесь,
большинству пользователей имеющих опыт работы с P-CAD будет
нс удобна опuия аrпоi\tатического панорамирования (Autopan
Options), которую рекомендуется выключить (DisaЬle). На этой же
вклал.ке стоит обратить внимание на количество команд, которые
можно отменить (Undo/Redo). При большом количестве ко�1анд
отмены и малом количестnе оперативной памяти, программа будет
завершать работу всякий раз при нехватки па�шти, с сообщением
содержащим текст: <•Aecess violation at addrcss ХХХХХХХХ in
module 'ADVPCB.DLL'. · Read of address ХХХХХХХХ at
ХХХХХХХХ». Слсдующан страница настроек, которая играет наи­
большую роль с точки зрения трассировки, это ОХР> Prefereпccs>
РСВ Editor> lпteractive Routing. Здесь в группе Routing Coпflict
Resolution перечислены режимы трассировки, которые определнют
действие, применяемое к прокладываемой трассе и близлежашей
топологии, при конфликтной ситуашш. Во nре:-.1я трассировки этн
режимы переключаются комбинаu11ей клавиш Shift+R, рассмот­
рим их немного позднее на конкретном примере. В группе
Interactive Routiпg Conпections перечислены дополнительные оп0
256
4.9. Трассировка проводников
• r-rff■ lnl■lokfn9Yl■SIZ8\
�
.--�----�--
l!ole So,e о :Ъmi
Тар.оуе,
OS.W•
Мd-2
l'«toout,..
O.!mn
O.S,,,,,,
�-•
о.ьm,,,
Рис. 4.50. Создание списка используемwх переходнwх от.веретий
ш1и трассироnки, из которых можно отметить Automatically
Remove Loops - удалять старую дорожку, при прокладке ноnой (в
отличии от P�CAD эта команда удаляет не только сегменты трассы
в одном слое, но и поnторяющиеся петли с переходными отвер­
стиями), Auto Complete - автоматически завер1uать прокладку
трассы при доnедении дорожки до центра. Последнее, что здесь
стоит отметить - группа Interactive Routing Width/Via Sources.
Здесь задается значение для толщины лорожки и переходного от,­
верстия, которое будет использоваться по умолчанию - рекомен­
дуется выбрать значение Rule Preferred (Предпочтительное по пра­
вилам). В этой же группе кнопки Favorite задают типоразмер тол­
щин дорожек и параметра� контактных площадок, которые могуr
быть использованы при трассировке. Кстати возможность созда­
вать перечень стандартизованных переходных отверстий с указа­
нием толщины площадки по слоям, появилась, только, в Altium
Designer Winter 09 (см. рис. 4.50).
Толщина во время трассировки мею,ется комбинацией клавиш
SJ1ift+W, переходное отверстие Shift+V. Если устанавливаемое зна­
чение выходит за заданные рамки, то .оно автоматически ограни­
чивается верхним или нижним значением.
Режим размешсния проводника на плату вк..'!Ю'tается -командой
меню Place>lnteractive Routing или соответствующей пиктограммой из инструментов меню
9 зак. 32
lli1!. Рассмотрим режимы интеракпш-
257
Глава 4. Разработка печатных плат
ной трассировки, для чего после выбора инструмента
Place> Interactive Routing выберите начальную контактную площа.J.­
ку и нажимайте Shift+ R, до появления режима lg1юrc. Название
режима отображается в строке состояния, под вкладками слоеn
или во всnлываюшей информации в левом верхнем углу рабочей
области. Там должна быть видна надпись Track45:lgnore Obstacle.
Теперь по порядку:
1. Jgnore Obstacle - игнорирование препятствий. Практнчс ск11
ручной режим, в котором не соблюдаются ранее созданные прав11ла проектирования.
2. Walkaround Obstacle - огибание препятствий. Программа
огибает конфликтный объект с учетом минимальных зазоров и по
оптимальной траектории.
3. Push Obstacle - расталкивание препятствий. В этом случае
приоритет отдается прокладываемой дорожке и все встре•1аюuшс­
ся элементы топологии (трассы, переходные отверстия) расталки­
ваются с учетом правил.
4. Hug and Push Obstacle - огибание и расталкивание препятст­
вий. В отличии от описанного режима Walkarouпd, программа
огибает препятствия только в заданном направлении, а нс предла­
гает оптимальный вариант. Причем, в узких участках, при невоз­
можности обогнуть конфликтный объект, дорожка отталкивает его
в сторону. Например, имея два проложенных параллельно идуших
проводника, начинаем прокладывать третью дорожку (рис. 4.51а}.
Обратите внимание, что новая трасса идет сверху от имеющей­
ся топологии, а курсор расположен снизу - этим обесnе•швается
создание параллельной топологии. Около конденсатора С204 для
Рис. 4.51. Режим Hug and Push Obstacle
258
4.9. Трассировка проводников
новой трассы не хватает место, но, проводя курсор :1евее и ниже
11�1еюшихся проводников, новая дорожка <,отталкивает,> сушсст8уюшие вниз.
Во время интерактивной трассировки используются горячие
клавиши, показанные в таблице 4.2.
,.,.-- К
лавиша
'ТаЬ
·��-�l��
1
1-
jEлter
laackspace
1Esc
ti Правая кнопка мыши
\SpaceЬar
1 Shift+SpaceЬar
\Shift+R
: Shift+W(V)
j*
il
,
г
'1
Таблица 4.2
я
�Описание выпол���мого дcii��
g
! Отображает окно свойств текущего проводника
.
1
1 Завершает трассировку прокладываемой дорожки
!Удаляет последний излом проводника
1
Отменяет текущую трассировку
Приостанавливает трассировку
Переключает режим размещения трассы Start или Епd
Изменяет режим размещения проводников
Изменяет режим преодоления препятствий
Изменяет толщину проводника (размер 11ереходноrо отверстия)
Переключает слои трассировки в процессе размещения проводников
-
, Устанавливает переходное оmерстие и завершает прокладку трассы
i Показывает все, доступные в данный момент комбинации горячих
клавиш.
Интерактивная трассировка также может быть выполнена для
нескольких параллельно идущих проводников (трассировка шин).
Для этого используется специальная команда Place> Interactive
Multi-Routing, которую можно также запустить, используя пикто-
грамму 1 � 1. Прежде чем использовать команду трассировки
шин, нужно выбрать контактные площадки, от которых отходят
параллельные связи, а затем выполнить команду Interactive
Multi-Routing. Выбрать несколько контактных площадок можно
рамкой выделения, а также одиночным выделением с. нажатой
клавишей Shift. После того как указаны несколько плошадок, за­
пускается команда lnteractive Multi-Routing и, затем, нужно ука­
зать любую из выбранных площадок. Во время трассировки шины
9•
259
Глава 4. Разработка печатных плат
Рис. 4.52. Трассировка шин
А
Рис. 4.53. Трассировка шин
действуют те же горячие клавиши, что и n обычной трассировке
(см. табл. 2), например, режим огибания препятствий (см.
рис. 4.52а). Кроме того, можно менять зазор между проводникамн
шины, использую клавиши <•.» (точка, уuеличивает зазор меЖдУ
проnодника:шt) и <•,•> (запятая, уменьшает зазор).
Во врс�н, трассировки шин немного меняется режимы интер ак­
пшной трассировки. Так в режиме Walkarouпd огибание будет как
показ�но на рисунке 53А, а в режиме lg110re - 53Б.
Кроме этого добавляется новая команда, которая меняет режим
установки переходных отверстий, при переходе шины со слоя на
260
4.9. Трассировка проводников
Б
\
Рис. 4.54. Трассировка шин
слой. Для этого в режиме трассировки нажимается клавиша 5, ко­
торая перск.1ю11аст два режима, показанные на рисунке 4.54.
К интерактивной трассиронке п1кже относится еще один инст­
румент, это трассировка дифферснниальной пары, который прак­
тически ни11ем не отличается от трассировки шин no выполнению.
4.9.З. Трассировка дифференциальных пар
Дифференциальная передача сигналов, обеспечивает значи­
тельно более низкий уронень tплучения, сокрашаст количество
nыводов устройств и сигнальных шин и прсдостав.�яет возмож­
ность передавать сигналы на относнте.r�ьно большие расстояния.
Высокоскоростные тактовые с11rшt1ы компьютерных материнских
n.1ат н серверов перслаютс11 по днфференuиальным линия.\t. Мно­
го•�ис,1снныС; уе1роiiства. такие как, принтеры, коммутаторы, мар­
шрутизаторы и сигна.1-процессоры используют технологию низко­
уровневой дифференuиальной переда•1и сигналов LVDS (Low
Yoltage DitТere11tial Signaling).
Дифференциальная передача сигналов подрюумевает передачу
uлинаковой информации rю двум прово:�ннкам. Лр11 этом исполь­
зуются 1ше шнны, как минимум один передатчик (драйвер) с вы­
ходами позитивного 11 негативного сигналов 11 по одному прием­
н111-,.,1 (ресиверу) нв каждый сигнал. Драйвер передает сигналы ин­
версно друг другу. В то nречя как позитивный выходной сигнал,
совг�адающий по фазе с входным сигналом драйвера, переходит из
низкого уровнн в высою1й, негативный выходноН сигнал, инверс­
НЬ!i1 входно�у, переходит из -нысокого уровня в ниж11й.
261
Глава 4. Разработка печатных плат
Использование дифференuиальной пары дает следующие nре­
имущества:
1. Защище11ность от шума. Так как на оба дифференциальнь1 х
сигнала действует одинаковый шум, то в результате получения
разности позитивного и негативного сигнала этот шум будет ниве­
лирован.
2. Нечувствительность к опорному напряжению. В дифференuи­
альном сигнале всегда присутствует некоторый опорный уровень
позволяющий использовать ero в случае, когда передатчик и при�
емник имеют раз.личные общие напряжения питания (раз.личные
земли). Это также позволяет решить проблемы, связанные с не­
стабильностью напряжений общих выводов, и улучшить uелостность сигналов.
3. Уменьшение излучаемых электромагнитных помех. Такие по­
мехи возникают, в основном, во время переключения сигнала из
одного состояния в другое. Поскольку оба дифференциальных
сигнала переключаются одновременно, но противофазно, то воз­
никающие из.лучения взаимно компенсируются. Кроме того, каж­
дый из дифференциальных сигналов обычно имеет небольшую
амплитуду, поэтому уровень из.лучения также небольшой.
При трассировке дифференциальной пары рекомендуется руко­
водствоваться следующими правилами:
• ZoD = 100 Ом ±10 %. Дифференциальнь1й импеданс, являю­
щийся одним из основных факторов. Индивидуальный импе­
данс каждого проводника также может быть спеuифиuирован
и обычно близок к 50 Ом. Расстояние между проводниками
и/или ширина проводников тоже могут быть определены, но
если не оговорен стек дифференциальных пар, то всегда по
умолчанию используется значение дифференциального им­
педанса.
• Проводники пары должны быть подобраны по длине с точно­
стью 0,635 мм. Более точное значение не играет особой роли,
но может быть уменьшено при передаче сигналов с большой
скоростью.
• 'Расстояниt! меЖдУ разными сигналами должно быть не менее
0,508 мм. Это расстояние между одним из проводников диф­
ференциальной пары и проводником, по которому передает­
ся другой сигнал. Необходимо увеличивать расстояние меж­
ду двумя дифференuиальными парами настолько, насколько
возможно.
262
4.9. Трассировка проводников
· • Проводники тактовоrо сиrнала и rрупповоrо сиrнала данных
должны быть подобраны по длине с точностью 6,35 мм. Более
точное значение также не играет особой роли и зависит от
скорости передачи.
Поддержание постоянноrо опорноrо напряжения. Это означает
удержание группового сигнала на одном слое с одним опорным на­
пряжением. Дополнительные требования мoryr также предполагать
ограничения в переходах на другие слои. (Под групповым сигналом
здесь понимается несколько дифференциальных пар, объединен­
ные одним тактовым сигналом и передающие схожую информа­
цию).
Кроме вышеперечисленных правил следует уделить внимание
следующим особенностям трассировки дифференциальных пар:
1. Проводники позитивного и негативного сигнала должны
быть не только согласованы по длине, но и должны быть располо­
жены максимально симметрично.
А
u
а
о
а) нежелатеrьный вариант
а) несимметрl!NНЫЙ вариант
Ь) nре,lftочтительный вариант
Ь) симметричный вариант
2. Симметрия разводки относится не только к проводникам, но
и к переходным отверстиям.
3. Встречаются случаи, когда слой, отведенный под питание
схемы, содержит несколько различных полигонов. Нежелательно,
чтобы проводники высокоскоростных сигналов пересекали разры­
вы между полигонами, поскольку это может разорвать путь воз­
вратного тока синфазного сигнала, ухудшить качественные пока­
затели сигнала и увеличить электромагнитные помехи и <•дрожа­
ние» потенциала литаний и земли.
4. Рекомендуется предотвращать создание отводов от основного
проводника, когда это осуществимо, потому что они мoryr также
ухудшать качество сигнала и создавать дополнительные электро­
магнитные помехи.
263
Глава 4. Разработка печатных плат
5. Рекомендуется преобладание диагональной трассироnки, так
как пр и вертикальной и горизонтальной трассировке проnодник11
будуr параллельны текстуре текстолита. При этом появляетс я воз­
можность попадание негативного и позитивного проводника на
разные слои текстолита, которые обладают различной диэлектри­
ческой проницаемостью, что создаст разли•1ие в действии помех.
Разводка дифференциальных пар накладывает новые, доnольно
таки сложные правила при проектировании печатных плат. Преж­
де всего эти правила предъявляются к САПР печатных плат и тре­
буют расширения их инструментария лля реализации вышеопи­
санных требований.
В программе Altium Designer имеется инструментарий, позво­
ляющий размещать дифференциальные пары с учетом всех выше­
изложенных требований. Причем, прежде чем этот инструмента­
рий использовать, необходи:-.ю создать диффсрснuиальные пары и
указать правила, согласно которым они должны быть выполнены.
Ранее в главе 3.11 было сказано, как создать дифференциальную
пару на схе�1е. Предположим, что в схеме эта задача не была сде ­
лана и ее необходимо осушествить в редакторе плат.
Po1�1ve Het: LVOS_P
+
Prc,p,ii..t
S_:;,.N_____..,�
Negetive Н� 1-LVD
--'
Namв. j,-LVO-S1----------,
ок 11 � 1
Уис. 'I.DD. t,озоание нotiou оuq}(реренциальнои пары
Работа с дифференциальными парами в редакторе плат ведется
через панель РСВ, которая была подробно описана в главе 4.5.
Выбираем в панели РС13 режим работы с лифференциальными па­
рами (аналогично выбору режима работы с непями, компонента­
ми и т. д.). и нажимаем кнопку Add (рис. 4.56), лля создания но­
вой пары.
264
-
4.9. Трассировка проводников
В окне, появившемся на экране
I Dtfe<ential р,,., Е�
(pJIC. 4.55) требуется указать пози­ L
тнвный и негати�зный сигналы
па ры, а также задать уникальное
название. Если после этого вы­
брать в верхнем окне пане,111 РСВ
ст року AII DifТereпtial Pairs, то во
вт ором окошке будет показана
созданная пара (см. рис. 4.56)
В панели РСВ можно выпол­
нять весь спектр работ с парами
аналогично работе n этой панели с
uепями и компонента!\111. Кроме
этого, здесь же можно создать
правила для дифференuиальной
пары с помошью мастера по кноп­
ке Rule Wizard.
На втором шаге мастера пред­
лагается указать суффиксы, кото­
рые будут добавлены к именам
правил данной пары. Данные суф­
фиксы можно нельзя оставлять по а.;........,_...;.:...;.....,;:....�.а..1:......................
Рис. 4.56. Управление дифф.
умолчанию, т. к. для следуюшеи�
парами
пары программа будет присваиChoose Rule Hemn
frte, lhe ,...... ollt',o r\Aeo tl>el wl Ьо creolod
[LVDSI_
M61chod Lerqht RoJe Name
iLVDSl_Me>ch,d.englhs
llfodlh Put N,..,..,
k'f'Cd 11
фr.k
II tlf'>l>
авление правил для дифференциальной пары
265
Глава 4. Разработка печатных плат
ватъ такие же. Рекомендуется вместо предлагаемого суффикса пи­
сать название пары (см. рис. 4.57).
На следуюшем этапе будет предЛожено указать толшину про­
водников пары. Причем, здесь можно указывать не абсолю тное
значение толшины, а значение импеданса (рис. 4.58), по которому
толшина проводника будет рассчитана автоматически с учетом
значений и формулы указанной ранее (см. rл. 4.3.2.). Напоминаем,
что толшина проводников рассчитывается автоматически по зна­
чению импеданса, только при наличии слоев типа lnternal Layers!
Choose Wldlh Cons1ralnl Proper11es
FI n \he propor1,es ot lho- rue 1h11 ... "1'1'1, lothe seleded dfe,e,1111,..,.
LVOS1_Width
r
RlkPrOPe!Ьec
--
---
Prefet1ed 1-danc:• 70 OOatvnt
Min 1,_dм,се 7000atv,,t Mu 1,npedance 7000olrм
• Checl< 11xf,1A1c1�tnlM .,. \'/dhlrctvQ,,l,Cl-:c►. r-!!'V-М �- W,:Н, ft• Р!,уис to,,-� Cor19•:r
( 0 0.0,octeiislic 1� о,...., \/ldl, )
� и,,
...: n ��.r �
'
AltJbln0t1LMn\/ldh 1 PlelaredSac
О.27768n-,,,
О 145&-lrm>
0.145&4/М\
Q14564a"
0145&4<м>
027768rim
O.zm&rcn
м,,,.\IМ!,
, 1,
L- Sletl. Relorenc,:
N""8
О 2rnerm, Тoi,leye,
0.145&4/!Wh Md..-1
0.145&\nn Mid.-2
02nб&mtB�
писание импе
1
о
1
2
3
llbl<tJeL-
I
:н.nо
fnde,,
1
T<>!LO!"f
Md..O!"f1
2
М4-2
BOltoni.eye,
еренциально
з
32
пары
На следующих этапах будет предЛожено указать правила допус­
ка на выравнивание проводников в паре (Matched Net Lengths),
зазор в паре и величина огибания препятствия (Differential Pairs
Routing). Теперь можно выполнить трассировку дифференциаль­
ной пары, дЛЯ которой используется инструмент lnteractive DifТ.
Pair Routing. Все команды управления трассировкой пары анало­
гичны командам трассировки одиночного проводника. При трас­
сировке стоит обратить внимание на дЛину проводников, котора я
будет отображаться в панели РСВ, сразу после завершения про­
кладывания дорожки.
266
4.9. Трассировка проводников
4.9.4. Автоматическая трассировка (Situs)
Инструментарий автотрассировки расположен в меню Auto
Route, в котором имеются следующие инструменты:
All - трассировать все проводники;
Nct - трассировать одну uепь;
Net Class - трассировать класс uепей;
Connection - трассировать все uепи подсоединенные к данно­
му вьшоду;
Area - трассировать все проводники соединяющие выводы в
выделенной области;
Room - трассировать все проводники, между компонентами в
выбранной области размещения;
Component - трассировать все проводники, подходящие к вы­
бранному компоненту;
Component Class - трассировать все проводники, подходящие
к выбранному классу uепей;
Connections оп selected components - трассировать все провод­
ники внутри выбранных компонентов;
Conлections between selected components - трассировать все
проводники межлу выбранными компонентаr.ш;
Fanout - трассировать Fanout для выбранного элемента (цепь,
КП, компонент и т. д.).
Автоматическая трассировка отдельных элементов дает не
очень удовлетворительный результат, т. к. нет возможности на­
стройки се алгоритма, который может быть указан только для
трассировки всей платы.
Соответственно для того чтобы удалить один или несколько
разведенных проводников, следует выполнить Tools>Un-Route>AJI
(Net, Connection, Component, Room).
Предположим, что у нас имеется расстановленная и частично
разведенная плата и нам необходимо выполнить оставшуюся трас­
сировку автоматически, для этого выполним Auto Routc> All, по­
сле чего появится окно Situs Routing Strategics (это же окно появ­
ляется при выполнении Auto Routc> Setup, см. рис. 4.59). Данное
окно служит для настройки стратегии трассировщика Situs.
В верхней части окна перечислены все правила проектирования,
заданные для данного проекта, здесь они доступны для редактиро­
вания.
Ниже на вкладке Routing Strategy присутствуют пять заранее за­
данных эталонных стратегий трассировки:
267
ci:....
Глава 4. Разработка печатных плат
Ryle - Width Po;,er W,dth Con ra,nt (Min•0.15mm) (М,,X•2..5mm)
(Preferтed•0.2Smm) (,nne\('V СО') or 1nnet('VCCIN"Г) or ,nnet("SV))
З
61\ck totop
Rule - RoyttnoYios Routing 11,а
(�1')(НoleWidth•0.8mm) (Pref
(MinW1dth� 1.016mm) (МЬхW1
(AII)
Васk to top
[ EdHlljoef Ofecl>:яd.
]1___f_dt_R_l.ie_•_-�
RoAr,g Slrd"'l/
�v�RooJ �Sь<il �-- _
1-1-
-
,
D�
____...,..Del_d_.:�:.=:=--:.:-:;,1:-":,.,i::ew��-�--=---...::.---,-1
at'Ч!
0е,rц,
Oefd 2 l.-;� Boaid
Sьve Report дr
Oeld 'l l"l"!f W,+, Edge Corr.ecta,
I
Ое/
М...Ь l";e, Bo,,,d
11 �
Oeld sl·a� tcw r� t..a-1,;.
Oeld sl1,it"W fcw two-1,iye, оо,,,
С1аНl\артные
страrеrии
Deld sl1degy 1а 10\Jr,g n,_."""--------Dнtd -"' p,JrPOSe cwlhogor..i ,,rdez,
\lladmoSucmo
Roman 5t..,,,...,,
Пользовательс.w.е
стрS::1теn,,и
:raс. 4.:>:1. паст�
Cleanup - <,•111ст�.,:�•> топо:топ�и;
Ocfalllt 1 Laycr Воапl - праст.:я л.вухс,1сч1ная П,"нт.�:
Def.iult 2 L·<1ycr Wit\1 EJgc Cor1пccto1·s - ;11,ухс.101iная п.1атз с
торцсвы ,1 рззЪС\10\1:
Octatilt Multilaycr Bn::нJ - м1югnслL>йнuя плата;
Gcr,('ral Orthogoпнl - 11рс11.\ 1у11tсствсюю ортоrона.'1ы1ая тр"сс11ровк.1.
Via Miscr - ·,·рассчронка с -.11ш1i,\JИJюв1е11 •шслu псрехо:щых от­
верстий.
268
4.9. Трассировка проводников
включение параметров:
J. Lock All Pre-Routing - позволяет зафиксировать все ранее
з
в
а
р еденные цепи.
2. Rip-Up Violations After Routing - удаляет конфликтующие
ч
ки топологии после трассировки.
аст
у
При необходимости пользователь может задавать свои страте­
гии трассировки, для чего следует нажать кнопку Add в окне Situs
Routing Strategies после чего появится окно Situs Strategy Editor
(см. рис. 4.60).
11)�
Sltus Strategy [dltor
Название
Описание стратегии
Ccщ,leoon � and ,hove lopolc,\ic:.,l 10<A•I
Coщ,iollOfl
f.,,, о,НМТ роси to S,gnal L&;e,•
r"" оо..с Svw,i
Fon ou SMT pods lolnleinol Pw.
f!J'IO<AloP\ane
G� Орtтж! м" 1,4.., w,g a:,nl'"1ioon 1e<d<Aion 10 ,мW..., о gl
Hug
Hug
Loye, dlecЬOn Ь.МЮ tcpologic4' Icue,
L"J'<f Paiteina
Мм
ммpuot,ond thoYetopo/ogca1cц"
Heur:Ьc IOU(e, lo, pa,ele/ pollems
Мето,у
MIA>il!!"!fMor,
М""1 р111> ,u,1.ы,, lo, Ьоо<d$ Wllh inte,� "'7"1
Mllle co,ners
Recome,
.....,,_•=•�"-d ____.r--"v!ЧW..a."'IQOU'""'""rotШдk�t�m.:i;a_�c.:.1
Кнопки
nобавления
процедур
моv� !.!Р 11 Move
QI(
11
Qown 1
c-..i
Рис. 4.60. Описание стратегии трассировки
В стратегию можно добавить следующие, наиболее значнмые
пронедуР.ы:
Adjacent Memory - соединяет выводы U-образными проводни­
ками.
C\ean Pad Entrics - чистит подходы к контактным площа,жам.
Completion - добивается завершенности трассировки, д,1я •1его
использует методы разрыва и расталкивания прспядствий.
269
Глава 4. Разработка печатных плат
Memory (память) - процедура находит все цепи, связаннЬiе с
устройствами памяти или похожие на таковые, и использует эври.
стический алгоритм. Рекомендуется всегда включать эту процеду­
ру, даже в случаях, когда на плате нет запоминающих устройств.
Если на разрабатываемой вами плате присутствует настоящий
банк памяти, и его местоположение, ориентация и привязка стро­
го оговорены, для оценки его разводки рекомендуется включить
только эту процедуру, а все остальные выключить.
Fan Out Signal - прорисовывает стрингеры у КП поверхност­
ного монтажа на сигнальных слоях.
Fan Out to Plane - прорисовывает стрингеры у КП поверхност­
ного монтажа с переходами на внутренние слои питания и зазем­
ления.
Hug - уплотнение проложенных дорожек.
Laycr Pattern (шаблон для слоя) - используются шаблоны трас­
сировки с учетом преобладающего направления на слое.
Main - главная процедура топологической трассировки с ис­
пользованием методов разрыва и расталкивания препятствий.
Rccomer - добавление сглаживания прямых углов.
Spread - равномерно использует доступное для прокладки ме­
сто.
Strdighten - выполняет общую чистку топологии.
После выбора стратегии трассировки запускаем программу
Situs, нажатием кнопки Route AII в окне Situs Routing Strdtegies.
В Altiнm Designer имеется интерфейс обмена данными с про­
граммой Specctra. Для импорта платы в программу Specctra, она
сохраняется с расширением * .dsn, для этого выполним команду
меню File>Save As. На экране появится диалоговое окно, предла­
гающее сохранить файл в одном из поддерживаемых форматов
экспорта. В выпадающем списке в нижней части окна выберем
тип файла Export Specctra Design File (*.dsn). Предлагаемое по
умолчанию имя файла автоматически получит расширение *.dsn.
Далее надо указать папку, в которую будет сохранен наш проект.
Следует помнить, что программа Specctra не поддерживает имена
папок с пробелами, поэтому во избежание возможных затрудне­
ний с последующей обработкой файла создадим в корне одного из
дисков новую папку с именем Specctra. Оставим имя проекта без
изменений и закроем окно нажатием кнопки <,Сохранить». На эк­
ране появится диалоговое окно Setup Specctra Router, которое со­
держит настройки, призванные управлять передачей данных о
270
-
4.10. Редактирование объектов на плате
nр:.ши.'!а'< проектирования и стратегии в программу Specctra. На
ca�ro�t .1еле это окно никак нс влияет на содержимое экспортируе­
�юго файла, все эти настройки можно выставить в самой програм­
ме Specctra, поэтому просто закроем его.
После трассировки платы в программе Specctra в папке будут
созданы файлы отчета, главным из которых является файл •.rte,
содержащий описание проложенных проводников. Именно его
.,1ы и будем импортировать в Altium Designer. Выполним команду
File>lmport и n появившс�1ся окне выберем файл •.rte. Нажмем
кн опку <•Открыть». Программа загрузит нужный файл и одновре­
�1с1-11ю с ним автоматически откроет файл *.sts, содержащий отчет
о выполненной трассировке. Щелчком на вкладке с именем файла
*.PcbDoc вернемся в редактор печатных плат.
4.10. Редактирование объектов на плате
Во npe�tя работы не редко возникает необходимость исправлять
уже выполненные размещение и топологию, дЛЯ чего в Altium
Designer используются спеuифические приемы, знание которых
позволит значительно повысить эффективность работы с програм­
мой.
Ранее было сказано, что дЛя исправления свойств объектов
нужно использовать панель Inspector, хотя можно пользоваться
стандартным окном свойств объекта. Разниuа заключается в том,
что свойства вы можете редактировать только дЛЯ одного объекта,
а панель l11spector позволяет работать с группой!
Прежде чем изменить свойства или расположение объекта, его
необходимо выде.'!ить. Рассмотрим особенности одиночного и
группового выделения объектов в редакторе плат.
Для выделения одного объекта нужно выполнить нажатие ле­
вой кнопки мыши на его графике, при этом может появиться
всплывающее окно, показанное на рисунке 4.61.
Данное окно появляется тогда, когда под курсором находятся
несколько объектов на нескольких слоях. Здесь необходимо вы­
брать из списка тот объект, который надо выделить. После выбора
объекта, клавишей F11 запускаем панель lnspector, где лля редак­
тирования доступны все свойства объекта. При редактировании
проводника (рис. 4.62), на его графике показаны метки (в центре и
по краям). При перемещении меток, меняется форма сегмента, а
271
Глава 4. Разработка печатных плат
ТIOC� W,:tJ,P D.254
АР1
10К (SIPЭ)
rm>
[207,645 nvn.176022 rм,J208.S, 5 IМl.176,022 hll"} 8otleni.oye<\
Т q,l")'ef
Рис. 4.61. Выбор объекта на печатной плате
при перемещении сегмента за свободную часть, меняется его поло­
жение. Причем, во nрсмя редактирования топологии работают те
же режимы огибания препятствий, что II при создании топологии.
Например, на рисунке 4.62В выделенный сегмент перемещается в
режиме Pus (расталкивание топологии), а на рисунке 4.62С - в ре­
жиме Hug (огибание).
А
Рис. 4.62. Редактирование одиночного проводника
Для редактирования нескольких проводников их нужно выде­
лить, для чего можно использовать два режима выделения,
во-первых, нажав клавишу Shift, можно поштучно выбрать необ­
ходимые объекты (при этом есть недостаток, при плотной плате
272
4.10. Редактирование объектов на плате
lnsldeArea
часто будет появляться окно рис. 4.61 ),
Qo.t<IClc А,е,,
во-вторых, можно выделять с помощью ко­
10\!Chno Re<!�
манды Sclect. Рассмотрим использование ко­
Touchr,g L""
маНды Selcct на прю1ерс, когда необходимо
Си�д
tJ
передвинуть несколько параллельных сег­
ow,d
<Ьl+В
ментов (рис. 4.64). Так как, все указанные
�
сегменты расположены на верхне!\� слое пла­
Co<r�ted Сщ,е, Qrl+H
ты. командой Shift+S, переключаемся в од­
P!,ysicol �crnectlon
нослойный режим и активный делаем слой
� Come<t-oos
Тор.
Coщ,cr,rnt No-ts
Нажимаем клавишу S, после чего на эк­
Room�
ране
отобразится
контекстное
меню
Edit>Selcct (рис. 4.63), 11з которого можно
выбрать режим выделения объектов.
д1 Loc!....:I
В первой группе здесь перечислены стан­
Off �,dPods
дартные для многих САПР программы nыле­
ления объектов:
Рис. 4.63. Меню
• lnside Area - выделить объекты, по­
Select
павшие в рамку выбора;
• Ot1tside Area - вь1де,1ить объекты нс
попавшие в рамку выбора;
• Touching Rectangle - выделить объекты пересекающиеся
ра�1кой;
• Touching Line - вьшелить объекты, пересекающиеся линией.
Выбираем вариант Touchi11g Line и проводим ,1ию1ю по объек­
там, обведенным зеленым контуром на рисунке 4.64, после чего те
сегменты топологии, которые были пересечены линией, булут вы­
делены. Теперь можно захват11ть левой кнопкой за свободное от
маркеров место любого сегмента и передвинуть вверх все выбран­
ные объекты (результат показан на рис. 4.64 справа).
Есл11 на п.,1ате имеется несколько одинаково размещенных
фрагментов, которые обладают ош1накоnой топологией и ранее нс
были реализованы в виде каналов на схеме, то можно копировать
топо,1огию с одного участка на другой. Рассмотрим на конкретном
примере (рис. 4.65), где имеется четыре дифферснuиальных пары,
у которых должна быть одинаковая топология. После выполнения
трассировки одной пары, находясь в однослойном режиме . (для
удобства выделения), вызываем меню Select (клашtшсй S) н в поя­
вившемся списке выбираем ко:-.1анду lnside Arca, после чего ·рам­
кой обводим готовую топологию диффсрснuиальной пары. Эту
273
1 лава 4. fJазр;;ботка печсипных г,лат
опсrа1111ю \IOЖIIO IIЫПO.'lill1Tb. llj)Ut:ГO ВЫ.1С.11113 объекты рамкой, НО
пр11 ·ло\1 вс:111ка 11сро11тнскт1,. •1то c:1y•1aitнo будет захвачен и пере­
двинут KO\IПOIICIIT 11:111 .1ру1 oii ()UЪL'KT.
1.Ь.'J J<.onupo•10.:1ue
Пос:1с пы:1с:1с111:н 11ары. ко:--1fi1н1аш1сi1 Ctгl+C коп11руе�1 выде­
ленные объекты в 6уфср об,1ена (11р11 это�1, нс забывая, что про­
гра,1,�а пр11 i-:01111p(J11a111111 тrсGуст) кюать точку привязки, которую
у:ю6нсс всего ука--3ать в о.111н 11з вы1ю.1ов. напри:--1ср, в правый
всрхн111i ).
Теперь. 11аж1шан Ctrl+V. 11t:таu.1нс,,1 ш буфера выбранный ра­
нее уl1асток 11уж11ос кол1;•1ссrво рю. пr11чс-.,, есл11 увсли•tип, мас­
штаб на участке, ол1с•rен1ю:--1 ·3с_1сн1,1ч 11а рис. 4.65, можно заме274
4.10. Редактирование объектов на плате
111ть, что добавленная топология имеет свойства тех цепей, к кото­
рь�:.r он подключена!
Кроме описанных приемов, большую роль в редакторе плат,
также как и ранее в других редакторах, играют инструменты rло5а,1ьного редактирования. Рассмотрим на наиболее вострсбован­
но:,r примере: имеется цепь, толшина которой имеет 0,254 мм (на­
пример, при трассировке конструктор забыл указать, что толш11на
берется из правил, и зна•1ение было установлено по умолчанию), а
необходимо уменьшить толщину этой цепи до 0,2 мм. Для этого
нажимаем правой клавишей на ну,кной цепи и выбираем ко.\tаНJ)'
Find Similar Objects.
l1)lE]
find S!mil.ar Objects
Х1
У1
Х2
У2
235 712,,,m
w'dn
D254nwn
Locked
s�
Ап;
;.,,;
о
о
Ап;
A"'J
0Zoorn Mot� 0�oiect Matched 0 �,. Eю,mg
QDe,u,EJ!Pe<srn JN<><mal
0fiu-,ln,poclor
l;.i:
ок
II
Смс.,1
Рис. 4.66. Выделение дорожек заданной цепи
В появившемся окне (рис. 4.66) помимо того, что в нерхней
строке указан тип объекта Track, указываем второй критерий вы­
деления в строке Name. Убеждаемся, что в нижней части панели
включены все опции, кроме Clear Expression, и нажимаем клавишу
ОК. Напоминаем, что панель Fi11d Similar Objects предназначена
только для выбора критериев выделения объектов, в ней нелЬЗJI
изменить свойства объектов!
Глава 4. Разработка печатных плат
После нажатия ОК на плате будет nьiбрана вся цепь, указанна�
в запросе. Теперь в панели [nspector (Fl 1) можно отредактировать
толщину uепи.
4.11. Проверка правил проектирования.
Верификация платы
Программа Desig11 Rule Checker (DRC) представляет собой высо­
копроизnодительный автоматизированный программный модуль,
проnеряющий как логическую, так и физическую uелостность про­
·екта печатноit платы. Использоnанис этого модуля при трассировке
для контроля соблюдения минимальных зазоров и отсутствия дру­
гих нарушений обяз,пельно. Так как редактор печатных плат позво­
ляет в любое нремя вносить из,1енени.н в проект, рекомендуется все­
гда проnоюпь проверку правил проектирования перед окончатель­
ным выво,rю,1 чертежей.
Функu11я 11ро1.1ерки прави,1 проектирования в режиме реального
нрсмени активизируется на вкладке PCB>General диалогового
(1JХ
'· Onf&n Rulв Chd.er [irmJ
• A<!><'\Opoon,
11:!�ToChk\
i' Electкd
�RCIID'IJ
-sмт
�;,..,r;,an
--
M..-Jodu,ng
�HфSpeed
RIJo
/�
f;,дeщдrrg,,
rr. а..,...,.
c_..m1a...ance
• Ссщхnп on,,-1..,,,.
i=D-.YC!wn Sti.ь Leng1n
Monilor:!u,ng "
flec!1oc,I
f'\aceme,t
F\tc.,,,..-/
llq,Speed
► Sq,ollлlegfp
о�
Btith
1?.1
�
�
о
о
о
о
□
о
L,nglh
�1-4"ol,od Ne1 L
0rr,, t4'.C • Used Cn
О<Ь DRC -AI 0n
Orn-DRC-AIO/f
MmunД......,,
�d, ORC • Used on
в.tch Cl!C • AI 0n
�м-v"
о
Ot>lr-4
о
о
о
о
о
о
u
�
□
□
�
�
�
о
о
о
о
о
�
�
о
Qo,a
писок правил постояннои
и пакетной ( Bath) проверок
276
4.11. Проверка правил проектирования. Верификация платы
окна Preferences. Включение этой функ11ии в рсжю.1с ручной трас­
с11ровки позволяет незамедлительно обнаружить и выделить
ошибки в работе. Правила, проверка которых будет производиться
в режиме реального времени, настраиваются на вкладке Rulc То
Check диалогового окна Design Rule Checkcr (сч. рис. 4.67), вызы­
ваемого командой меню Too1s> Design Rule Check. Для включения
правила в постоянную (onlinc) или ручную (batch) проверку, с:1е­
дует установить флаг в соответствующем столбце напротив данно­
го правила (рис. 4.67).
Чтобы включить/выключить все правила следует нажать ПК на
названии любого правила и в выпадающем списке выбрать соот­
ветствующее значение. Рекомендуется для постоянной проверки
выключить вес правила (по правой кнопке -> Online DRC>AII
Off) и включить только проверку зазоров по металлизации и меж­
ду компонентами (Clearance и Component С\саr::шсс). В ручную
проверку стоит включить все прави,1а, которые были созданы для
ланного проекта (по правой кнопке -> Batch DRC>Used On)
Перед запуском ручной проверки, можно указать допо,1нитель­
ные опuии на вкладке Report Options, которые позволяют:
Create Report File - автоматически создается файл отчета про­
rра\1мы проверки правил проектирования с р:�сширением . DRC,
который затем открывается текстовым рещ1ктором.
Create Yiolations - подсвечивание нарушений, при вклю•rении
этой опuин места нарушения правил (примитивы) будуr выделять­
ся специально заданным uветом.
Sub- Nct Details - о пния работает сов\1сстно с прав11ЛО\f проек­
т11 ровзни51 Uшoutcd Nct Rule. Ее включают в слу 1аях, когда требу­
ется прооютрсть все детали неразведенных uспсй. Прав11.10 про­
ектирования Uпroutcd Net Rulc должно включаться только после
трассиrювки всех соединений, так как виртуа,1ы1ая линия связи
сосщ,нсннii 0 У::пр11нн,1астся програм�юй проверки как разомкну­
·,а,1 1��!lb.
Si.np \v'l1r.:P ХХ v'iol:нi()n<; - рслактор печатных плат авто:-штнчс­
с1�11 осп�:,чн, r пr,�iuccc прон;::рю; правил проскт11рованю1 при на­
\ож;1�н11и заланного чис.ы наруtllсний.
Зап уск проверки осу111еств.1ястся нажатие�� кнопки Run Design
Rl1le Cl1eck, после чего на экр:1нс вес объекты uyдyr подсвечены
нветом ошибки ( котооыi1 Jад..tется в окне \iie"" Configuration клавиша L, в строке DRC Error Markers). Для по11ска ошибок сле­
дует использовать панель РСВ (см. r.1. 4.5)
1
1
277
Глава 4. Разработка печатных плат
4.12. Добавление механических деталей
на плату
На'!иная с версии Summer 08, в программе на высоком уровне
постаnлсно взаимодействие с данныJ\111 МСАD-систем. Это позво­
ляе, импортировать, редактировать и проверять сопряженнос ть с
механическими деталями в проекте платы. Файлы данных MCAD
могут иметь связь с реальными файлами, что позволяет обновлять
информаuию о деталях в Altium Designcr.
Ранее установка механических деталей в проекты печатных
плаr средствами ECAD, как и последующий импорт в MCAD, яв­
лялись сложным многоэтапным процессом. В Altium имеется uе­
лая группа команд, позволяющая добавлять детали на плату и раз­
мещать их согласно техническим требованиям. Данная возмож­
нос1ъ позволяет не только установить на плату такие детали, как
радиаторы, втулки, подставки и т. д., но также позволяет устано­
вить плату в корпус и добавить другую плату с компонентами.
В качестве формата взаимодействия механиqеских САПР и
Altium Designer используется формат STEP, который является
универсальным стандартом обмена моделями среди механи'!еских
САПР. Модель в формате STEP может быть сформирована в лю­
бой из программ твердотельного моделирования (SolidWorks,
ProE, Компас и т. д.), и может и меть геометрию любой сложности.
Также этот формат используется большинством разработчиков
элеКТронных компонентов, которые предоставляют модели корпу­
сов производимой базы. Altium Designer подперживает оба форма­
та STEP АР203 и STEP АР214, однако стоит помнить, что в фор­
мате STEP АР203 не сохраняется информация о цвете модели.
Altium Designer позволяет вести работу с моделями в формате
STEP в двух видах, во-первых, можно просто добавить деталь на
плату, а, во-вторых, можно создать ссылку на файл модели. Ссыл­
ка на файл предполагает наличие горячей связи между приложе­
нием и исходным файлом модели. При создании такой ссылки, и
последующем изменением исходной модели STEP в основной
программе. AJtium Designer автоматически определяет эти измене­
ния и предлагает внести их в модель на плате. Это означает, что
Altium Designer позволяет вести параллельную работу одновремен­
но в механической и электронной САПР. Для использования ссы­
лок на файлы моделей, должны быть указаны пуrи к хранению
278
,,,,.а
-
•
4.12. Добавление механических деталей на плату
эт«х моделей, т. е. некоторые директории, которые Altium посто­
янно будет проверять на наличие обновлений.
Рассмотрим инструментарий работы с механическими деталями
в редакторе плат Altium Designer на конкретных примерах. В дан­
ном примере будут созданы ссылки на две модели STEP, которые
составляют сборку корпуса некоторого устройства, в которой бу­
дет использоваться плата. Сопоставив детали корпуса с платой,
выполним проверку сопряженности деталей и отредактируем раз­
мешение компонентов, с учетом механических частей. В этом
примере будет использован проект multivibrator_step.pcbdoc, кото­
рый расположен в папке Altium Dsig11er/Examples(Гutorials/
multivibrator_step. В этой папке также находятся необходимые для
работы модели в формате STEP. Процесс создания моделей дета­
лей корпуса, платы и компонентов в механической САЛР здесь не
рассматривается, предполагается, что все модели уже готовы.
Первым действием перед использованием моделей в формате
STEP необходимо указать ссылку на директорию, в которой хра­
нятся нужные модели. Для этого выполним следуюшие действия:
1. Огкрываем
окно
настроек
DXP>Preferences>PCB
Editor> Models;
!q
2. Нажимаем кнопку :
в области Model Scarch Path, указы­
ваем папку, содержащую модели (Altium Dsigner/Examples/
Tutorials/multivibrator_step) и нажимаем ОК. Если папка с моделя­
ми находится в директории текущего проекта, то не обязательно
указывать полный путь к расположению моделей, достаточно ука­
зать только расположение относительно папки проекта;
3. После выбора папки с моделями, нажимаем кнопку Add и
она добавляется в текущий список;
4. Нажимаем кнопку ОК в диалоговом окне Preferences.
После определения места хранения моделей, можно приступить
к размещению моделей STEP или ссылок на них в документе пла­
ты. Для дальнейшей работы откроем документ платы, к которой
будут добавлены детали корпуса:
1. Откроем плату multivibrator_step.pcbdoc (File>Open), расположенную
в
папке
Altium
Dsigner/Examples(Гutorials/
mu\tivibrator_step;
2. Выполним команду Place>ЗD Body, при этом откроется диа­
лог 3D Body. В этом диалоге задаются настройки для трехмерных
моделей объектов. Для создания ссылки на 3D-модель, нужно вы279
Глава 4. Разработка печатных плат
брать Generie STEP Model в области ЗD Model Туре, и затем на­
жать кнопку Link to Step Model. В результате появляется окно, в
котором представлен список всех моделей, находящихся в под­
ключенных директориях. Примечание: 3D-модели можно разме­
шать как в двухмерном, так и в трехмерном режиме (переключе­
ние режим ов осуществляется клавишами 2(2D) и 3(3D);
3. Выберите файл multivibrator_base.step и нажшпе ОК в обоих
окнах. Модель становится привязана к курсору, для размещения
нужно нажать левой кнопкой мыши в любом месте рабочей о блас­
ти. Теперь на плате имеется модель с ссылкой на исходный файл,
которая будет обновляться при изменении базовой модели;
4. После размещения пе}:>вой модели на экране снова появляет­
ся диалог ЗD Body, где предлагается установить следующую мо­
дель. Сноnа нажимаем кнопку Link to Step Model, в списке выби­
раем модель multivibrator_cover.step и размешаем ее аналогичным
образом.
5. После размещения двух моделей нажимаем кнопку Cancel в
диалоге 3D Body, для выхода из режима размещения.
Если размещение происходило в обычном (двумерном) режи­
ме, то на экране показаны прямоугольники, нарисованные на
слое Mechanicall. Чтобы просмотреть результат размещения дета­
лей переключимся в трехмерный режим, нажатием клавиши 3.
Если на экране не отображаются трехмсрJ-1ые детали, значит, от­
ключен их просмотр. Для включения отображение SТЕР-дсталей и
других трехмерных объектов, нужно (находясь в режиме 3D!)
включить обе опции в разделе ЗD Bodies (рис. 4.68) в настройках
Design>Board Layers and Colors (клавиша L).
IOBodes
ShowS-'- :Ю 80Def
""""
Sl>owSTEPModels
■ JLЩ) to $J1dem se!li,g$
===========.�
11
IYef
:=·
/Yes
0 Show 51'\еР Pon Merkeit
Рис. 4.68. Включение отображение 3D моделей
В результате на экране кроме платы будут показаны две модели
деталей корпуса. Теперь нужно разместить эти детали относитель­
но платы, таким образом, как они будут выглядеть в сборке. Для
выравнивания импортированных деталей в AJtium Designcr исполь -
280
4. 12. Добавление механических детапей на плату
зу�от ся грани модели или контрольные точки (заданные пользова­
телем). Кроме этого имеется возможность вращать �юдель детали в
л�обой плоскости и указывать высоту расположения относительно
платы. В рассматриваемом примере модели располагаются в рабо­
чей области случайным образом и далеко разнесены относительно
платы, поэтому необходимо выполнить действия по выравнива­
ни�о. Для решения этих задач могут быть использованы следующие
оnера uии:
1. Установка вертикального положения модели относительно
плоскости платы;
2. Использовать одиночную контрольную точку для перемеще­
ния всей модели;
3. Использовать три контрольных точки для перемещения, вы­
равнивания и вращения модели;
4. Использовать поверхность платы для выравнивания модели
относительно платы;
5. Использовать элементы управления моделью через диалого­
вое окно свойств 3D модели.
В рассматриваемом примере будут показаны все перечисленные
методы позиuионирования модели относительно платы.
В тех случаях, когда модель детали имеет такую же ориента­
цию, как плата (т. е. совпадает направление базовых осей модели
и платы), для ·позиuионирования может быть использована одна
точка на модели. В рассматриваемом примере, модель имеет ту же
ориентаuию, что и плата. Смотря на данную плату и базовые дет1:1ли корпуса, видно, что на корпусе имеется прямоугольный вы­
ступ, который должен проникать сквозь плату. При этом на плате
пока нет соответствующего отверстия, для этого прямоугольно вы­
ступа, место этого отверстия необходимо определить. Можно из­
мерить в механической САПР положение этого паза и по нему оп­
ределить положение отверстия в плате. Прежде всего, следует из­
мерить внутренний размер корпуса, чтобы определить, поместится
ли в него плата:
1. Переключаемся в 3D режим, нажатием клавиши 3;
2. Выполняем команду Tools>ЗD Body Placement>Measure
Distances;
3. Нажатием левой кнопки мыши выделяем нижнюю часть кор­
nуса, после чеrо курсор принимает вид трехмерного приuела (го­
лубой, шестиконечный), который перемещается по привязкам на
вершинах модели;
281
Глава 4. Разработка печатных плат
4. Чтобы измерить размер внутренней части корпуса, куда будет
устанавливаться плата, нужно выбрать две точки, как показано на
рисунке 4.69, сначала измеряем ширину, затем длину; Примеча­
ние, из-за базовой дюймовой системы координат, размеры моrут
быть отображены с погрешностью 0,00001 мм.
Рис. 4.69. Измерение внутренних размеров базовой части корпуса
5. Аналогично можно измерить диагональ внутренней части,
при этом будут показаны и внутренние размеры, после заверше­
ния измерения следует нажать правую ююпку мыши.
Теперь, чтобы определить поместится ли плата в данный кор­
пус, измерим параметры самой платы, для чего выполним
Reports>Board Infonnation. Здесь можно определить многие пара­
метры платы, среди которых линейные размеры (50,8Х50,8).
Следующим шагом необходимо определить базовую точку в
центре прямоугольного выступа в корпусе, для �опряжения с пла­
той. Расположение паза требует наличия отверстия в плате и неко­
торой зоны запрета, вокруг этого отверстия:
1. Выполним команду Tools>ЗD Body Placement>Add Snap
Points from Vertices (в терминологии Altium Designer Vertices - это
точки привязки на вершинах детали, Snap Points - :rочки привяз ­
ки заданные пользователем);
282
4. 12. Добавление механических деталей на плату
_Jj. -�
Рис. 4.70. Установка базовых точек
2. Выберите, нажатием левой кнопки мыши, нижнюю деталь
корпуса, после чего к ней будет привюан курсор прнuсла;
3. Перемещаем курсор по модели и выбираем точки привязки
на каждом из утлов двух прямоутольных выступов (т. с. создаем
там пользовательскне привязки). Красными стрелками на рисун­
ке 4.70 показаны вершины большого паза, а синими стрелками
вершины малого паза.
После выбора точек нажмите правую кнопку мыши или Esc для
завершения;
4. Кроме выбранных точек для работы понадобится еше одна это uентр паза. Для установки этой точки снова вызовем режим
установки точек и выберем деталь;
5. Далее клавишей Space выбираем режим Mid-point, который
создаст точку привязки между двумя указанными точками. Выби283
Глава 4. Разработка печатных плат
раем две точки по диагонали паза и I<Лавишей Esc завершаем опе­
раuию.
На следуюшем этапе, поместим точку привязки в центре платы
в nиде контактной площадки.
1. Переключаемся в двумерный режим, и проверяем, чтобы на­
чало координат было размешено в левом нижнем углу платы. Есл и
это не так, то редактируем положение этой точки командой
Edit>Origin;
2. Выполняем команду Place>Pad;
3. Выполним команду Edit>Jнmp>New Locatio11, которая позво­
ляет перейти в заданные координаты;
4. В появившемся окне вводим обе координаты 25,4 и последо­
вательно два раза нажимаем клавишу Enter. В результате в центре
платы будет размешено металлизированное отверстие.
Теперь можно позиuионировать нижнюю деталь корпуса отно­
сительно платы, используя одну точку привязки. Для этой проце­
дуры не обязательно наличие пользовательских точек привязки,
здесь могут быть автоматические точки привязки, которые форми­
руются на вершинах модели.
1. Переходим в трехмерный режим (клавиша 3);
2. Выполняем команду Tools>3D Body Placement>Position 3D
Body;
3. Нажатием левой кнопки мыши выбираем модель нижней де­
тали корпуса, после чего на ней появляется курсор прицела;
4. Нажимаем клавишу ТаЬ, для выбора режима точек. Здесь
можно использовать для сопоставления точки привязки (Vertices)
и пользовательские точки привязки (Snap Point). В данном случае
следует выключить олш1ю lnclude Vertices;
5. Перемещаем курсор в центр модели и левой кнопкой фикси­
руем эту точку;
6. Перемещаем курсор в центр платы и левой кнопкой фикси­
руем положение, когда курсор совпадет с центром контактной
площадки. В результате Деталь корпуса будет совмещена с платой.
Примечание: При выборе центра платы курсор должен принять
зеленый цвет, что означает, что его положение попадает в элек­
трическую сетку вокруг контактной площадки.
При таком позиционировании платы, она получается подвеше­
на над корпусо�1, поэтому теперь необходимо совместить плос­
кость нижнего слоя платы с верхней гранью широкого паза. Для
этого, находясь в трехмерном режиме, двойным щелчком заходим
284
4.12. Добавление механических деталей на плату
в свойства модели нижней летали корпуса и в списке Body Side
выбираем Bottom Side. При это� корпус стал расположен обрат­
ной стороной к плате, чтобы поверн�rrь ero в нормальное положе­
ние выполним команду.
Теперь, совместим плоскость платы с гранью паза, для чего вы­
полним следующие действия:
\. Tools>ЗD Body Placement>Sct Body Height;
2. Левой кнопкой мыши выберем модель нижней дета.,1и платы,
после чего появится курсор приuе.1а;
3. Переместим курсор на один из углов широкого паза, для того
чтобы задать грань для выравнивания;
4. В появившемся окне предлагается задать расстояние, на ко­
орое
будет отодвинута плата от выбранной грани паза. В нашем
т
случае выбираем вариант Board Surface, в этом случае выравнива­
ние будет происходить по плоскости платы.
Итак, позиuионированис ·нижней детали корпуса завершено, и
в uело.м оно не содержало сложных действий, т. к. ориентация де­
тали совпадала с ориентацией платы. Теперь разместим вторую де­
таль, ориентация которой не совпадает с платой. Сначала выпол­
ним выравнивание детали по плоскости платы:
1. Tools> 3D Body Placement>Align Face with Board;
2. Выберите нижнюю деталь корпуса нажатием левой кнопки
мыши;
3. Курсор мыши становится привяз:�н к детали, и при переме­
щении разные грани детали становятся подсвечены, а сама деталь
показана прозрачной. Нужно выбрать ту грань, которая будет вы­
ровнена с плоскостью платы (см. рис. 4.71).
Результатом описанного действия будет совпадение плоскости
платы и нижней грани детали. При этом направление детали и
платы может нс совпадать, поэтому совместим деталь с платой ис­
по,1ьзуя три точки (в отличии от прсдыдушей детали, которая со­
поставлялась по одной точке). Для данной задачи используется
следуюший подход: выбираются три точки на плоскости детали, и
затем три точки на плоскости платы, направление которых долж­
но совпадать:
1. Tools>ЗD Body Placcment>Orient and Position 3D Body;
2. Выберите нижнюю деталь корпуса нажатием левой кнопки
мыши, после чего курсор примет вид приuела в ·виде большого пе­
рек рестия (голубого цвета) и укажите три точки на детали (для
этой задачи,можно было установить временные точки привязки,
285
Глава 4. Разработка печатных плат
Рис. 4.71. Выравнивание детали по rиоскости nAamы
или нажать клавишу ТаЬ· и указать работу с Vertices (с точками
привязки детали);
3. После выбора трех точек, курсор примет вид курсора (синеrо
цвета), после чего нужно указать уrлы платы, соответствующие
направлению ранее Rыбранных точек детали (при наведении на
уrол платы приuел должен принимать зеленый .цвет, что rоворит о
попадании в зону вершины платы, если этоrо не происходит рекомендуется увели•1ить шаг сетки Electrical Grid)
4. После выбора трех вершин платы происходит сопоставление
направления выбранных точек, что обеспечивает положение необ­
ходимое детали.
После размещения всех деталей видно, что разъем Yl не попа­
дает в расположение окна, и нужно либо менять расположение
окна в детали, либо перемешать разъем на плате.
В данном случае необходимо переместить разъем, и это можно
выполнить непосредственно в режиме 3D, для чего левой кнопкой
захватываем разъем и перемешаем ero. Однако более точно пози­
ционировать разъем можно в 2D режиме, но для этого надо в 3D
поставить пользовательские точки привязки,_ которые будуr ото­
бражаться в 2D (см. рис. _4.72)
Особенности работы с механическими деталями также описано
в главах 2.3.3. и 4.3.1, и в файле TUO132 исходной документации
Altium.
286
4.12. Добавление механических д0т:злР.й на плату
ЗD
Рис. 4.72. Сопряженносrт, кил111онl:нmов. с dcmaл;u,1u сборки
28'
Глава 4. Разработка печатных плат
4. 13. Работа с полигонами
4. 1З. 1. Металлизация на сигнальных слоя·х платы
Для раЗ;\tещения полигона на текущем слое необходимо вып 0_1_
н11ть следующие действия: Placc>Polygon Pour, после чего лоятп­
ся диалоговое окно Placc Polygon Pour, которое позuоляет устано­
вить нужные· параметры полигона. Описание пара;\tетров будет
приведено позднее (см. рис. 4.73).
'- Po\ygon Pour [nwn]
L:>
[l]fg)
.
, F•Mode----------
Solod 1Сщ,е, Rf9Cl'S)
i
_O_H_ll_ched_[Т_•_ldu_�_c_•I___
O_N_ono_lO_�
� n....,
rtlПli.i,◄t---,__,...,.--+. Alc Appro,nmel1on
м....,...., D......oon From Peifecl
kc О 0127nvn
_ Rea,ove Neck1 \vhen С_е,
\r,lldlh Le11 Than О 1271М1
0
N11111e
ITc,c,floNcl 1
j
, llet Or;,1,cn--�----Conne,.t lo Net
GtlD
fФ•t1-tt1tt14! �· ·,11
о
R.,_,ve Dead Сорр,,,
0
L oclt PrilNlivet
1
Lodoo
lgno,e On L""' Vюl,,.,on1
<--....
о
_o"L_
ок
JI
С,,,с"4
Рис. 1.73. Настройки полигона
Переместите указатель мыши 1:1 нача"11,ную точку полигона 11
выполните щелчок "1еuой кнопкой 1\11>111111. Про.1олжаiiте последо­
вательно вводить точк11 11злома rраниuы полигона, пока он не бу­
дет задан полностью. Для изменения рсж11ма раз�сщения граниuы
полигона используется клавиша SPACEIЗЛR.
288
4. 13. JJaooma с полигuнr1мu
Как только граниuа замкнется, будет произведена заливка по­
_,иrона. Если в настояший момент граница полигона не замкнута,
то no нажатию клавиши ESC или щелчку nравой кнопкой мыши
произойдет автоматическое замыкание полигона ,1инией, соеди­
няюшей начальную и последнюю вершины.
В диалоговом окне Place Polygon Pour задаются следующие па­
ра:-.1етры.
В первую очередь выбирается тип полигона в окне FШ Mode,
из трех вариантов: Solid (сплошная заливка), Hatched (сетка) и
None (контур, без заливки).
Поле Net Options. Connect to net (соединить с uепью). Если для
платы существует список соединений, то любая из uепей проекта
может быть выбрана в выпадающем списке Conncct То Net. В слу­
чае соединения полигона с цепью могуr быть применены две дру­
гие расположенные здесь опuии.
Pour Over Same Net (объединение с проводниками этой же
uепи). Если данная опuия включена, все существующие внуrри
по лигона проводники, которые являются частью этой же цепи,
будуr объединены с полигоном.
Remove Dead Copper (удаление неиспользуемых участков ме­
таллизации). При заливке полигона и обтекании существуюших
проводящих объектов неизбежно возникновение небольших уча­
стков металлизации, не соединенных ни с одной контактной пло­
щадкой, проводником или переходным отверстием назначенной
цепи. Данная опция включает режим автоматического удаления
таких участков.
Для полигона в виде сетки задаются дополнительные парамет­
ры. Grid Size (шаг сетки). Здесь задается шаг прорисовки линий,
которыми осуществляется штриховка полигона. Для оптимального
расположения этих линий желательно делать этот шаг кратным
шагу, выводов компонентов.
Track Width (ширина линий). Этот параметр определяет шири­
ну линий, которыми осуществляется штриховка полигона. В слу­
чае, если ширина линий меньше шага сетки, то поверхность поли­
гона будет заштрихована. Если· ширина линий больше или равна
шагу сетки, то полигон будет сплошным. В общем случае для по­
лучения сплошной заливки полигона необходимо установить ши­
рину линий немного превышающей шаг сетки.
Layer (слой). Здесь задается слой, на котором будет размещен
полигон. Полигоны могуr размещаться на сигнальных, механиче­
ских или любых других слоях.
10 зак. 32
289
Глава 4. Разработка печатных плат
---
Поле Hatching Style (стиль штриховки)
90 Degree Hatch. Полигон будет заштрихован rоризонтальньщ11
и вертикальными линиями.
45 Degree Hatch. Полигон будет заштрихован ортогональными
°
ЛИНИЯМИ ПОД углом В 45 .
Vertical Hatch. Полигон будет заштрихован вертикальными ли­
ниями. Horizontal Hatch. Полигон будет заштрихован горизонталь­
ными линиями.
No Hatching. В этом режиме прорисовываются только внешние
границы полигона, штриховка внутри него не выполняется. Дан­
ная опция полезна на начальных этапах работы, когда необходимо
просто обозначить наличие· полигона, чтобы не снижать произво­
дительность системы, а заливку полигона можно будет сделать
позднее.
Поле Surround Pads With (способ обтекания контактных площа­
док)
Обтекание контактных плошадок может быть выполнено дуга­
ми или восьмиугольниками. При использовании восьмиугольни­
ков выходной файл в формате Gerber получается меньшего разме­
ра, а также увеличивается скорость вывода чертежа на фотоплот­
тере.
Поле Minimum Primitive Size (минимальный размер примитива)
Length. В этом поле задается минимальн'ый размер примитивов,
используемых в данном полигоне. Так как полигоны могут содер­
жать большое количество участков линий и окружностей, исполь­
зуемых для реализации сглаженных кривых вокрут имеющихся
объектов печатной платы, ограничение минимальной длины при­
митивов позволяет ускорить прокладку полигонов, перерисовку
экрана, а также генерацию выходных файлов за счет отказа от
сглаживания границ полигона.
Для выполнения повторной заливки полигона, а также для дру­
гих действий над полигонами используется подменю Tools>
Polygon Pours, в котором содержатся команды, которые также дос­
тупны из подменю Polygon Actions, которое появляется при нажа­
тии правой кнопкой на полигоне (при этом должен бQJть активе н
слой полигона). Обновление полигона - команда Repour (см.
рис. 4.74)
В настройках самого полигона задается по сути только режим
его заливки и принадлежность к цепи, а остальные настройки, по
зазорам и стилю подключения контактных площадок и переход290
4. 1 З. Работа с полигонами
/
F� Sirrfм OЬlo(ts..,
)'о/ bld�...
►
�
�
�cщюnert Actlons
�
ROO!!! AcЬons
lnteradr\'e Raч.n9
!nter- Dfferert>,,I Р� Rо,Ь,о
Jr,ter«:tlve �out!Q
�Net
�lveAI
Ctrl•
Ctrl+Y
r..i
,.........,,.,J..1,,,.g,;.....,..,n,111-
�ePo/yQ(w,P<u
fxJ)lode Pd)l90n то Free Prilltms
pUC. 4.74. •ПерезаАивка• nоАиг.она
ных отверстий запаются в правилах проектирования (Design Rules,
см. гл.4.6.1.)
4.13.2. Разделение экранных слоев
При использовании слоев типа Internal Planes, целиком весь
слой становится подключен к цепи, указанной в свойствах такого
слоя. Такие слои обычно используются для uепей питания и зем­
ли, которых на плате может быть гораздо больше, чем слоев тако­
го типа. Возникает необходимость на одном экранном слое раз­
местить два питания или две и более земли.
Для разделения выполним следующие действия:
1. Выбираем активным экранный слой, на который необходимо
добавить дополнительную цель;
2. В списке цепей панели РСВ выбираем uепь, которую нужно
разместить на экранном слое, после чеrо ее выводы будут ярко
подсвечены на плате (см. рис. 4.75);
3. Командой Place>Line обрисовываем контур будущей цепи,
причем учитывая, что в контур не должны попадать выводы цепи
основноrо экрана в этом слое (обведены голубым на рис. 4.75);
контур должен быть замкнут;
291
Глава 4. Разработка печатных плат
Рис. 4.75. Разделение внутренних экранных слоев
4. Выполняем двойной щелчок на созданном контуре и в пред­
лагаемом списке выбираем нужную uепь, после чего все отвер­
стия, относящиеся к данной uепи будуr иметь подключение к эк­
рану.
4. 14. Особенности импорта и экспорта плат
Как было отмечено ранее (см. гл. 3.13) в программе A1tium
Designer имеются обширные возможности по импорту и экспорту
проектов в другие системы проектирования. Для тоrо чтобы со­
хранить файл платы в одной из сторонних систем, следует выпол­
нить команду File>Save As и в выпадающем списке "Тип файла»
указать нужный формат (рис. 4. 76).
Для сохранения доступны следующие форматы:
• РСВ Binary Filcs (*.PcbDoc) - бинарный формат платы по­
следней версии A1tium Designer;
• РСВ 3.0 Binary (*.рсЬ)
бинарный формат платы версии
Protel DXP.
• РСВ 4.0 Вinary (*.рсЬ)
Protel 99SE;
292
бинарный формат платы версии
4.14. Особенности импорта и экспорта плат
Sa.-e {4 Port Serial lnterface.PcЬOocJ As...
П,,,,. а: 1 0 • Porl Senol lnterlace
Р"6с,м:,стол
(1)�
'Z)Adcnss Oe<<>da1';l)Нstory
0)1.i,rl!lrles
Выбор типа файла
'Z)Ol.t
для сохранения
"Z)Proted logs for ◄ Pott Serlol /rarf1JCe
1
�Pro)ect Ощ,w for 1 Port Seriel lr(erf..:e L----------.---_.
'it1.Pdi)oc
at1 Port �lol Jnterf«e.PcЬOoc
-,
J k,....•""'·l -=Pcbl)-,-:-oc J----�
=PCВ=--;8-"""-l-=-f
РС8 )08,wyfie 1· рс:Ь)
МоА
l<OМ'ЪIO'fel)
Има """"11«
РС8 4 О Bnaiy file Г pct,}
РСВ 5 О Bnaiy Пе 1 " Р<.ЬОосl
РСВ AS□ I Fie (".РсЬОос]
E><PCJI Ptotel Nel.ЬI Г nel)
E,cpo,tALloCAD �• (".dwg:" d,d)
E,,po,t Hw.,,Lin< Г.1'1}�)
E,cport Р-сдО ASOI 1" РСЬJ
Е
Piotel РСВ 2 BASГJI
•
1
•.
E,q,o,t SDRC�DF IJtd Fkt 1' Ь.d)
E,.,,rt SТЕ Р • 11 ",
РС8 Вм;,� (" РсЬОос}
Рис. 4.76. Экспорт nAamы в формате сторонних
1 сo,,p.wm, j
( °""°"" ]
1
• РСВ 5.0 Binary (*.рсЬ) - бинарный формат платы версии Al­
tium Designer 6;
• РСВ ASCII Binary (*.PcbDoc) - АSСII-формат платы по­
следней версии;
• Export Prote\ Nctlist (*.net) - список соединений в формате
Protel;
• Export AutoCAD (*.dwg, *.dxf) - формат AutoCAD 2004;
• Export HyperLynx (*.hyp) - формат HyperLynx для проведе­
ния анализа uелостности сигналов;
• Ехрогt P-CAD АSСП (*.рсЬ) - АSСП-формат платы версии
P-CAD 2002;
• Export Protel РСВ 2.8 ASCII (*.рсЬ) - АSСil-формат платы
версии Protel 99SE;
• Export Spccctra Design File (*.dsn) - формат проекта Spccctra,
мя автоматической трассировки;
• Export SDRC-JDF Brd Files (*.brd) - формат JDF, для пере­
лачи трехмерных моделей платы и компонентов, д.ля после­
луюшей разработки сборки узла в механической САПР (по­
зволяет оформлять чертеж платы и сnеu11фикаuию по ГОСТ
в программе Компас VI0 и nыше);
293
Глава 4. Разработка печатных плат
• Export STEP (*.step, *.stp) - формат STEP, для псредач11
сборки платы в механическую САПР (Solid Works, ProE
ADEM и др.)
1Е)
lmpot1 Wllмd
lmport Wizard
Ths v.lzar<! VvlN h� you convert yoor �lt>s from o!l1er veooc,rs to
Al!IUm Des1gner hleS
J
_.,РСВtь.11.._FСВr�
1>1,,gno.ц,,r..,
CADSTA!, r.., t.CS.0. • СРА • LJ8J
CдOSTAR 0,,v,
�- 21Х1)�,
F ""'""4,ц, s.i-.i,c, t О.ТL Con,Dl,le, u"u-..1· LIВLC.C.-0.. ,,t
(l,C_,.o _.. ...,i.ь.... Ro
r..o..o,.o..,,,, �..,._
1
Ок«1 о..,,,. ...,LЬ.on f...
Ooc«t �•- t l)SIIL Оосас1 РСВ t>Wq o....i О-.,, U-.. (' 111.ii Окоd PCr
OocodOS�n.....iLЬ- a-dOSt.o,1,grlo\'oo:),Oocodl..tw")lf... tOU '1.1.ВJ
РО()5 •SOI o-And u..,r"
P,l•SASOI FU rAS;:iP№SASOI РСВ LЬ..,.t OL�ASOI Lopr;Г !XII
Oк.d<nd PIOS 0...,,. .,.i
r" о.,.,н,._. 1' OSN]. Q.cocl 0.-U.- t IUl PIOS ASOI 1'С!1 r,ISCJ r
(CADO...,..ondLt,-Flt,
=-rSOILPG>D PCВt'l'(IJLP(дl) �.ь.... rщ 'LIIJI
...,u,,_,
...,u,,.,1o,
u,,.,,..
Рис. 4.77. Помощник импорта проектов из сторонних САПР
Для импорта платы, выполненной в другой программе, следует
воспользоваться помощником импорта File>Jmport Wizard
(рис. 4. 77), в котором предлагается открыть проекты плат следую­
щих форматов:
• 99SE DDB Files - база данных проекта в формате Protel
99SE;
• A1legro Design Files - проект платы в формате A1legro;
• CADSTAR Design and Libraries - проект платы и библиотеки
в формате CADSTAR;
• OrCAD Design and Libraries - проект платы и библиотеки в
формате CADSTAR;
• PADS АSСП Design and Libraries Filcs - проект платы и биб­
лиотеки в формате PADS ASCH;
• P-CAD Design and Libraries Files - проект платы и библиоте­
ки в формате P-CAD ASCII;
294
-
4.14. Особенности импорта и экспорта плат
Рассмотрим возможности импорта и экспорта в наиболее вос­
е
тр бованные форматы в отечественной практике, в программу
p�CAD и AutoCAD.
4.14. 1. Импорт/Экспорт в формат AutoCAD
В силу ограниченности графических возможностей редакторов
схем и плат всех САПР электронных средств, большинство отече­
ственных разработчиков оформляют конструкторскую документа­
uию в друrих системах, и чаще всего для этого используется про­
грамма AutoCAD. Чтобы открыть в редакторе плат Altium Designer
файл, ранее созданный в AutoCAD, нужно выполнить File>lmport
(подробно эта возможность описана в главе 4.3.1, на примере им­
порта контура платы). Стоит лишь заметить, 1по не все версии
файлов DXF и DWG nоддерж+�ваются в Altium Designer. Рекомен­
дуется для импорта в Altium сохранять изображение в форматах
DXF и DWG в версии AutoCAD2000, такую возможность имеют
большинство механических САПР выпущенные п озже 2000 года.
Для того, чтобы сохранить плату в формате AutoCAD, выпол­
няем File>Save As, и указываем тип файла Export AutoCAD (* .dwg,
r
Format
\owu
_
___
ic<)l::::ed::�::::_f-"11::!><_---_- - -1-...., �
���-pacis--and-vч, hoieo
___,-I__n-_de
_ C
S
P-'<ls and viat holes м1 not Ье e,qxwted
------ --- - .�---1 rackand Ata
0 Е� м bltd<.t
QEi,po,t�pmwнм
0 E"P(llt ...-.t. ,OU'lded endt
OE�мh�eenda
Pt,,,lrvei ToE,cport�hZerol.n:vldhs
0None
l
1 OAI
0Se!ect�
.___u_к ___,11 смсе1
Рис. 4.78. Экспорт в AutoCAD
295
Глава 4. Разработка печатных плат
* .dxf), после чего на экране появляется окно, показанное на РН­
сункс 4.78.
Здесь задаются следующие параметры:
• Options - версия AutoCAD, формат и единицы измерения·
• Holes - включить в DWG(DXF) отверстия в 1<онтакп-1�1 х
площадках и переходных отверстиях. В этом случае в Фай.,
AutoCAD будет добавлен отдельный слой содержащий свер­
ловку отверстий;
• Components - передавать компоненты в заблокированном
виде (Ехрогt as Ыосk) или в виде несвязанных примитивов
(Export as primitives);
• Track and Arcs - настройка окончания трасс, в виде скругле­
ния (rounded ends) или в виде прямоутольного окончания
(square ends);
• Primitives То Ехрогt With Zего Line Width - настройка экс­
порта линий нулевой толщины.
4.14.2. Импорт/Экспорт платы в формате P-CAD200X
Исторически сложилось, что большинство проектов россий­
ских предприятий выполнены в )lроrрамме P-CAD различных вер­
сий, и существует необходимость поддержки существующей базы
проектов и библиотек. Altium Designer позволяет безболезненно
вести доработку проектов, выполненных в. программе P-CAD на­
чиная с версии 2000. Для импорта платы из P-CAD выполняется
команда File> J mport Wizard, где на втором шаге выбирается фор­
мат импорта P-CAD Design and Libraries Files.
На третьем шаге (Jmporting P-CAD Design) будет предложено
указать файлы плат или схем для импорта, для чего используется
кнопка Add. Т.к. в мастере импорта используется один пункт для
импорта всех документов P-CAD, на четвертом шаге будет предло­
жено выбрать для импорта библиотеки. Это можно не делать, т. к.
библиотеки могут быть получены на любом этапе работы из ак­
тивной схемы или платы.
На пятом шаге (РСВ Footprirн Naming Format) предлагается
указать формат мя присвоения имен посадочным местам, у кото­
рых совпадают названия. Если названия совпали случайно (на­
пример, создано одно посадочное место и мя разных компонен­
тов используются разные варианты исполнения - primary,
secondary), то настройки следует оставить по умолчанию. Если у
двух компонентов общее посадо•шое место, а программ премаrает
296
4.14. Особенности импорта и энспорта плат
--
si,eclfYlhe rwnng ""'8\ to\llf'$lorm У('<1 Р.СЮ с� nemu to Ptd-4 loolplrt
р.(А!) <!& .iruct,,.e • d;t"....r to 111о/ о1 д,..., DO$i.;r,,w On � РСВ s,do ....,Atun Oe,,gr,,, _,, 1/-.е conc,ept d 1111�snc. о
po11em с"" not Ье �oe<I r,toif p,,tt.,,,. g,op/'lt• Also. p<ld; 11AlunDм,gne, ha..e � ore designala. ..-.11-е 11P-(AJ)
рьd �cn с,,, соте Ьоm ,.,.. ccn,pone,,! pn o-•pors"' 11>!, �'"" � �'-
.,,+.,,,
Due 1О lheae cile<rnc:es РСВ foolprrt nomм с,,, i.� lnlJr/l cllle,e,w fol,,.,., the /a,rna с/ ..t.ch c.n Ь. specТied ь,ь,, У� см, olso
Ьо � Fooli:m ,-erne, wil Ье cprt� lo lhc folmol "'<�n NW110>_<pellem g� n.wne> •· t lhe � pn
�or, •е the •- asthe � nпЬс,, Т� w1 Ье h6h,c oplmU;I to the famal
nм-е>" 1 h p,,tt.,.,, t,a � ono
poll'"n gaphcs. For Ье11е, c:or� Р-сдО 6''9'd мcf Ь•ies VQjd а/1 Ье �ed tJU>o lne s,ome мr«>g и11!ngs.
··,�""1>
°"'�
Р-СдD�
СА? RES
Р-СдD Paltem
()IOI.Plwne,y
18J5,\PtfТllry
тt-.о ii 41'1е� rJ о lut.>
IQЩ)ll'll nomo. Тш Р-сдr! pa11em,.. Ье 1ut,, OQlmzed � u ащ,опеn1 pn decv,.,tt,,
ь-е the оете as lhe � ruroet• ond • hos � 1 pellein '1�
Рис. 4.79. Формат присвоения имен длн посадочных мест
дать им разные имена, то рекомендуется выставить настройки, как
показано на рисунке 4.79.
На следующем шаге (Report Options) будет предпожено указать
перечень сообщений, которые необходимо добавить в отчет. Здесь
можно оставить лишь одну опцию - Log АН Errors, которая ото­
бразит список ошибок импорта.
На седьмом шаге (Current Layer Mappings) предлагается задать
соответствие слоев в двух программах. Каждый слой платы в
P-CAD должен быть назначен на слой в Altium Designer, иначе
дальнейший импорт будет невозможен. Если в P-CAD имелись
слои, которые не будут востребованы в Altium Designer, то их все
можно объединить на какой-либо слой, который в дальнейшем
будет удален (стоит обратить внимание, чтобы на этом слое слу­
чайно нс оказалась нужная информаuия и чтобы этот слой нс от­
носился к списку рекомендованных в гл.4.14.3).
На следующем шаге (Outputs РСВ Projects) будет показана
с.-труктура сформированного проекта, которую не рекомендуется
изменять. Здесь же задается директория, в которую будет сохранен
297
Глава 4. Разработка печатных плат
новый проект платы. Теперь, после нажатия кнопки Next будет за.
лущен процесс импорта, результатом которого будет появлен 11е
окна с активной кнопкой Finish.
При импорте платы из программы _P-CAD разработчика могут
ожидать несколько неприятных «сюрпризов», хотя все они доволь­
но быстро могут быть исправлены:
1. Меняются настройки надписей. Шрифты могут поменять как
высоту букв и толщину линий, так и положение относительно
компонентов. Для исправления потребуется ручная работа, а
именно глобальное редактирование (см. выше), с помощью кото­
рого можно одним действием выставить нужный шрифт всем над­
писям. Положение некоторых надписей придется поменять вруч­
ную.
2. Появляются <<лишние,> правила проектирования. Правила за­
зоров (Clearance) будут добавлены в проект в большом количестве,
т. к. в P-CAD имелась вкладка Layer в окне Options>Design Rles,
т. е. правила по зазорам создаются для всех групп объектов по
всем слоям. При этом в Altium Designer имеется строгий порядок
выполнения правил в соответствии с приоритетами, а добавлен­
ные правила не поддаются никакому анализу. Рекомендуем в дан­
ном случае иметь файл с rотовыми правилами (*.rul - см.
гл. 4.6.4).
3. При работе в P-CAD в дюймовой системе координат и по­
следующем импорте в Altium Designer в миллиметровую систему
будет произведен пересчет на l мил. В результате чего значения
всех правил будут некорректны. Решение проблемы, опять же, за­
грузка файла с правилами (*.RUL).
4. Не подключаются полигоны, которые нужно перезалить
(Tools>Polygon Pours>Repour AII Polygon) или, в некоторых случа­
ях , перерисовать.
Если после импорта внешне никаких проблем не заметно, на­
чать работу в редакторе плат Altium Designer, после загрузки платы
и проверки правил, следует с запуска DRC! Если из P-CAD был
импортирован проект в виде схемы и платы, то обязательно нужно
проверить их совместимость. Рекомендуется по кнопке
Project>Component Links (в редакторе плат) проверить все ссылки
между символами схемы и моделями посадочных мест на плате.
При необходимости нужно выполнить обновление, для чего в схе­
ме запустить Design> Update...
298
-
4.15. Получение выходной документации
Для конвертаuии платы из Altium Designer в P-CAD, следует
въ�полнить File>Save As и из списка доступных вариантов сохране­
кия выбрать Export P-CAD ASCII (*.рсЬ).
По конвертаuии платы в другие форматы стоит отметить сле­
дуюшее. При сохранении в формате IDF программа создает два
файла с расширениями *.brd и *.pro. В первом хранится информа­
uия о геометрии платы, а во второй модели компонентов. Компа­
нией АСКОН был разработан конвертер формата IDF Altium
Designer, который позволяет импортировать сборку платы с ком­
понентами в Компас старше J О-ой версии. Многие программы ме :­
ханических САПР также содержат спсuиальные конвертеры фор­
мата IDF. Так, например, аналогичный конвертер содержится в
программе ProE, однако, внутренний формат IDF там имеет рас­
ширения *.emn и *.emp. Для импорта в ProE, надо полученные
файлы *.brd и *.pro переименовать соответственно в *.emn и *.emp.
При импорте в программу Specctra для автоматической трасси­
ровки используется команда File>Save As. Причем, не стоит ука­
зьшать настройки для импортируемого файла, т. к. все это можно
указать далее в Dо-файле программы. Для обратной передачи в
Altium Designer результатов трассировки, необходимо выполнить
File>Import и указать тип файла Specctra Route File (*.RTE). Файл
*.rte передает только топологию, поэтому выполнять корректиров­
ку размещения компонентов в Specctra, с uелью последующей об­
ратной передачей в Altium Designer бессмысленно.
4. 15. Получение выходной документации
4.15.1. Формирование GеrЬеr-файлов
Проuесс генерации Gerber файлов в системе AJtium Designer
максимально прост и нагляден, при этом дальнейшая доработка
полученных файлов может быть выполнена с помощью встроен­
ного модуля CAMtastic. В редакторе печатных плат выполним ко­
манду меню File>Fabrication Outputs>Gerber Files. На экране поя­
вится диалоговое окно Gerber Sctup (рис. 4.80).
На вкладке General укажем формат численных данных для вы­
вода в Gerber файлы: в поле Unit выберем опuию Millimeters, что
. соответствует метрической системе измерения, а в поле Format
укажем формат численных данных для вывода в Gerber файлы.
299
Глава 4. Разработка печатных плат
GerЬer 5etup
-
-
J
u-.i Jll-• I t,rt 01-,gIд.,o,n,eo дdvanc,d)
Spocq,lt,e ...,,n1 IOIIIIOI tоЬошеd nl hee<Jp.A Не�
Tt.s ссnЬоЬ tho ll'i, (rd>eJ cw ,_._,.,� and
rurt.. d cig,t, Ь</сwе ....ct """' lhe
do,om,/pcn.
1�=-- -]
re
J
fcmw,t
1
O•l
011
еч
т,,. ,и;,,, lor,,,,,t :houdЬ. S8 tош tre ,__,, d )Q.I Р,-'The2Зlamolhooa1 mi,...u,,n.2Chooa01 r,,J1....UO,,..-d2.5hooo0� mi
�
1,,.., ... umg ..... d. lhe tw,J.. <escUюm)W,ho,.4dched. thol lhe f'СЗ .......toctu"
Щ>C)OIUthallc,mot
lhe 2.4 ond2.5 laanouCП)l.-toЬeehO&enf lhelt
on
llwl 1
••c,qect, •oid'"""
1
1
(
01-
11
c.,,-a,i
]
Рис. 4 .80. Создание Gerber файлов
Выберем опцию 4:4, что соответствует записи координат объектов
в формате 0000.0000.
На вюшдке Layers, в списке Plot/Mirror Layers необходимо вы­
брать слои, которые будут выведены в GerЬer файлы. Каждый
слой выводится в отдельный файл с име,�ем совпадающим с име­
нем чертежа платы и уникальным расширением. Таблица соответ­
ствия слоев будет приведена в итоговом отчете. В некоторых слу­
чаях требуется добавить к каждому слою служебную графическую
информацию, приведенную на механических слоях, например ре­
перные символы. Для этого предназначен список Meehanical
Layers to Add to AII Plots (механические слои, добавляемые ко всем
файлам). Нажмем кнопку Plot Layers и в появившемся меню выбе­
рем команду Used On. В левом списке автоматически .включатся
все слои проекта, содержашие какую-либо информацию.
Переходим на вкладку Drill Drawings и убеждаемся, что здесь
выключены все опции, добавляющие n Gerber файл разметку для
сверления отверстий. Далее перейдем на вкладку Apertшes и убе­
димся, что включена опuия Embedded Aperturcs (RS-274X). В этом
случае таблица апертур будет автоматически синтезирована по
проекту печатной платы и включена в выходные файлы.
Перейдем на вкладку Advanccd и убелимся, что в поле Batch
Mode включена опция Separate fi\c per layer. Здесь также :-.южно
300
4.15. Получение выходной документации
настроить размеры пленки, режит,.1 сортировки кода, включение в
него дополнительных команд, однако сейчас это не требуется.
Нажатием кнопки ОК завершим процесс настройки и запустим
процесс rенераuии Gerber файлов.
Система автоматически создаст три набора файлов, размещен­
ных в разные категории. Отчет о том, что представляет собой каж­
дый из этих файлов, приведен в файле *.REP из категории тексто­
вых файлов. В документе *.RUL представлен сокращенный список
установленных в проекте правил, необходимый для дальнейшей
обработки Gerber файлов.
В категории Generated Documents будут созданы файлы в фор­
мате Gerber с уникальными расширениями, каждый из которых
соответствует своему слою. В некоторые из файлов добавлена ин­
формация из слоя Multi-Layer, в котором содержатся изображения
контактных площадок.
Но главным будет файл CAMtasticl.CAM ю категории
Documents, представляющий собой проект встроенного в Altit1m
Designer модуля подготовки плат к производству CAMtastic. Имен­
но этот файл будет автоматически открыт на рабочем столе систе­
мы. Производителю дЛЯ изготовления платы можно передать на­
бор одиночных gеrЬеr-файлов, которые расположены в папке Out·
внутри директории проекта или один файл САМ, который в себе
имеет всю информацию о слоях.
Выходные файлы в формате САМ не воспринимаются про­
граммой технологической подготовки к производству печатных
плат САМ350, поэтому производителю, который использует дан­
ную программу следует передавать файлы в формате Gerber.
4.15.2. Формирование файла сверловки
Другая важная операция, которую требуется выполнить в про­
цессе подготовки проекта платы цля производства - это генера­
ция управляющих файлов цля сверлильных станков с числовым
программным управлением (NC Drill).
Процесс генерации файлов сверления также предельно упро­
щен, а дальнейшая их доработка выполняется в редакторе
CAMtastic. В редакторе печатных плат системы Altium Designer
выполним команду меню File>Fabrication Outputs>NC Drill Files.
На экране появится диалоговое окно NC Drill Setup. Аналогично
созданию GеrЬег-файлов в поле Unit выберем опцию Millimeters,
что соответствует метрической системе измерения, а по.1е Format
301
Глава 4. Разработка печатных плат
укажем формат численных данных 4:4. Остальные настройки оста.
вим без изменения и нажмем кнопку ОК.
Система автоматически создаст несколько файлов, которые бу.
дут добавлены в папку Out к ранее созданным GerЬer файлам.
В категорию Generated Documents добавится бинарный Файл
*.DRL, здесь же будет расположен файл, *.LDP, содержащий ин.
формацию о назначенных парах слоев сверления, что необходимо
для изготовления многослойных печатных плат с глухими и сле­
пыми переходными отверстиями. Файл *.ТХТ содержит собствен­
но файл сверления в текстовом формате Excellon. Именно этот
файл будет импортирован в новый проект CAMtastic2.CAM, авто­
матически созданный системой.
При имщ:>рте файла в САМ проект появится диалоговое окно
Import Drill Data, в котором следует задать все те настройки, кото­
рые были сделаны при экспорте файлов.
Нажмем кнопку Units и в окне NC Drill lmport Setting, укажем
метрическую систему единиц и формат численных данных 4:4, по­
сле чего нажатием кнопки ОК закроем окно. Нажатием кнопки
ОК запустим процедуру импорта. На рабочем столе системы
Altium Desigпer откроется редактор CAMtastic в режиме редакти­
рования NC Drill файлов, о чем свидетельствует вид его панели
управления.
4.15.З. Настройки печати сборочного.чертежа
и чертежа платы
В рамках российских предприятий наиболее ответственным
этапом, порой даже более трудоемким, чем формирование произ­
водственных файлов является процедура оформления конструк­
торской документации (КД). Причем, по результатам проектиро­
вания платы должны быть созданы три документа: сборочный чер­
теж печатной платы (для мноrослойки), сборочный чертеж ячейки
на базе платы и чертежи слоев платы.
Задача по оформлению КД в чем-то похожа на процедуру фор­
мирования rербер-файлов, т. е. необходимо указать в каком черте­
же будут напечатаны какие слои платы, а также некоторые допол­
нительные настройки. Для формирования чертежей используется
специальный файл *.OutJob, который в целом может быть исполь­
зован для формирования документации разного вида. Для созда­
ния данного файла используется команда File> New>Output Job
File, после чего на экране появляется таблица, как на рисунке 4.81.
302
,,,...ь
4.15. Получение выходной документации
�As�D•.G,_.,.,po. .-dlioи
(j; JAdd-д.t-Oщ>.,)
М\.8\,i_20РС800С
Иl,85(_20.РСВООС
acrii�'.::i"13.�!:!,
·
9
l!t.8\,i_20PCВDOC
tj--� "'--�
РС11 Ю l'lr/1
�_IOPCIIOOC
1o41.�_20f'QЮOC
РСВ P,,rt,
&. lдddN""�'°"Dulou
r.c,,,poo1,o..o,_
DitD,-,,,'Gudes
FNl.дm..al<l'lnt
.G..Ь.f...
•м:о,н"'
•o�в"fiol
Powe,-1'1,,,.,f'li-l,
blS-/P6.-te"w-l'lrts
• Тed Pcn Я,�,с,1
.,, lдddNewFaln::-Ou:u)
а((;
dl \дdd Нм t/r<bl Dщ,�J
а(б · . , ,
DeqA..,_.o-..;.
&J lдddN.,.R-0111><'1
"UЬ\_20 PCIIDOC
�_20.l'CIICOC
lolt.ВS._20 PCIOOC
ML161_20.PCl!DDC
MLll[,4_:111 PQIDOC
МL85(_20КВООС
MUl5-4_20 РСВООС
ML85(_20PCIIDOC
ML�_20PC11[10C
Мl.85(_20 РПDСС
�•Dl--s,
PCIIOPitu
f'CВf,,i,
Ccщio••D•Clt­
[l,iQ�
Fnol� .....,
G..Ь. Fle,
NCD,IFlot
о,,в" r..
P°"".f'\ano �
S.,._/P.,.,M•l'lni
Te,1Por/Rap;11
о
о
о
о
о
о
Рис. 4.8/. Создание нового файла выходной документации
В таблице все файлы сгруппированы по группам:
• AssemЬly Outputs - выходные файлы для сборки;
• Documentation Outputs - докумснтаuия на плату;
• Fabrication Outputs - файлы для производства платы;
• Netlist Outputs - файлы списков соединений;
• Report Outputs - файлы текстовых отчетов.
Программа автоматически формирует набор необходимых фай­
лов, но этот набор не адаптирован к реальностям отечественного
производства, и большинство этих файлов либо не будут исполь­
зованы, либо должны быть выполнены по другим стандартам. Рас­
смотрим работу с файлом OutJob на примере формирования набо­
ра настроек для выпуска КД. Прежде чем приступить к данной за­
даче, нужно строго представлять, какие слои платы будут
использоваться в чертежах. Кроме сигнальных слоев, здесь особую
роль играют слои типа Mechanical, которые изначально предна­
значены для оформления документации. Здесь предлагается всем
механическим слоям задать специализированные названия, несу­
щие информаuию о применяемости данного слоя. В таблице 4.3
описано распределение механических слоев, а в_ таблиuе 4.4 - на­
значение проrраммных слоев. В таблице 4.5 представлен набор
слоев для чертежа каждого вида.
303
-
·= -
•
Глава 4. Разработка печатных плат
Создадим нас!Ройку пе•1ати для первого листа сборочного чер­
тежа, который относится к rруппе Documentation Outputs. В этой
rруппе по умолчанию находятся три чертежа, которые можн о Уда­
лить, нажав на каждой из них правой кнопкой мыши и выбрав
Delete. Теперь для создания чертежа, нажимаем на надпись Add
New Documentation Output, после чего в контекстном меню выби­
раем тип чертежа, и файл по которому он будет создан (рис. 4.82).
� Coщioste Dri Dr
;,jOrJDrмw,g/G
!j F�Artwork Pr'
.6e<Ьe<Fes
•нcDrilFiles
• DDB++ Flle:
jp,_,.�p..,
Lo.)ic �,,se, Pi·rts ►
-.,a,srrrt5
PCBЭ!)Prrts
PClll'rr"ts
;_JSolde</Pas!e М
•т�Por,tRepat
r,_ (AddNewF
-
tJUl!.-.Het�
�-Pl,inePrm
Soldel/Paцe Mask Prin
Т=! Poo-il Repo(I
&. (Add New Netkst Oupu)
Рис. 4.82. ДоЬавАение нового чертежа
В таблиuе появляется новая запись, которой присваиваем на­
звание SBI (сборочный, 1 лист) и выполняем на ней двойной
щелчок мыши ДЛЯ установки свойств. в открывшемся окне РСВ
Printout Properties перечислены слои, выводимые на печать в вы­
бранном документе. Для данного документа необходимо оставить
только слои TopAssy, TopDimention и Kontur (см. табл. 3), для ис­
пользуются команды из под правой клавиши мыши (см. рис. 4.83).
Здесь используются следующие команды:
• Create... - добавление готовой rруппы слоев;
• Insert Printout - добавление нового чертежа;
• Iлsert Layer - добавление нового слоя
• Delete - удаление слоя, или rруппы слоев;
• Propcrties - свойства.
Используя команды Delete и Iлsert Layer, добиваемся того, что­
бы данный чертеж имел только необходимые слои, после чего при
необходимости можно установить опции Holes (Отверстия), Mirror
(Зеркальное отображение) и ТГ Foлts (Добавление объемных
шрифтов). Аналогичным образом добавляются настройки для ос­
тальных чертежей, согласно таблице 4.5. Для выбора формата лис304
4.15. Получение выходной документации
-
рС8 Pnnlout Proper11111
-- ------���-- -
._ •
.,
_______
.,
f!II
·
.,,
Cteete Fnol
С,е,ь<:,щ)о,tе
-TopO.,..,,..,w:,n
-кoniu
""""" ,...ask Set
Creete М Or-,r;is
с,-. Asse,rbly C.OМ'!QS
Cr№O Comt,o>te Ы GIJde
ArealoPYinl
Мо-,еЦ)
0EmeSheel
О SpcaicArea
МoveOowri
1..-. Le/1 С-.,,
Uppe, Аф Cane,
,,,....t Р,цсц
Oelet"
Propertles •..
1 F'lel1:1encet...
j
Pref,..enres .••
11
1нсе1
Рис. 1.83. Добавление нового чертежа
liJOU!-<.)OOtl'•"t'· .........,,,"""""
Рис. 4.84. Добавление нового чеотежа
та и настроек принтера, нужно нажать правой кнопкой на назва­
нии чертежа и выбрать Page Setup (см. рис. 4.84.).
Последний шаг -:- выбор коне•1ноrо устройства вывода, кото­
рые перечислены в списке справа от таблиuы. Например, для вы­
вода всей КД на плату через PDF. нужно выбрать в списке PuЫish
to PDF и выбрать из списка чертежи дЛЯ вывода в PDF., причем
305
Глава 4. Разработка печатных плат
порядок выбора определяет порядок печати, или в данном СЛуЧае
порядок листов в PDF.
Созданный файл в формате *.OutJob можно в дальнейшем ис­
пользовать как шаблон, для других проектов, при этом необход11•
мо будет лишь изменять название платы в столбце Data Source.
Таблица J
Назначение механических слоев
Имя слоя
Kontur
Plata
1
1
1 Mechanical 1
1
Mechanical 2
Mechanical 3
3D Body
Mechanical 4
Тор�
Mechanical 5
Mechanical 6
Воt�
Grid
Номер слоя
1
1 Mechanical 7
Grid Mirror I Mechanical 8
Тор
I Mechanical 9
Dimension
Назначение
306
"'s
�
�
Информация, идущая на чертёж nлаты (сборочный). Форматха, таблица
отверстий, технические требования,
размеры, порядок сборки слоев.
Проекция трехмерных деталей на
плате
.
Информация, идущая на сборочный
1
чертеж (верх). Графика корпусов
и т. п. на верхней стороне, форматка, установка компонентов.
+ 1 +
Mechanical 11 Форматка по ГОСТ для послойных
чертежей платы
1 1 ...
� ,:
(.)
+
+
Q)
а,
+
+
r
ь'
l
1
1
[
1
1
+
1
\'
,,
1
;·,
1
j
+
+
+
'
'
о,
с::
+
Оцифровка для платы {прямая)
Размерные линии для сборочного
чертежа (верхняя сторона)
1 "'
1
Информация, идущая на сборочный
чертеж (низ). Графика корпусов
и т. n. на нижней стороне, форматка
Оцифровка для платы (зеркальная)
Чертеж платы
>S
Границы конструктива
Bot
iMechanical 1 О Размерные линии для сборочного
Dimension.
чертежа (нижняя сторона)
Template
Сборочный
чертеж
1'
1
1
.,1
1·
i
1
+
+
1:
11
i
4.15. получение выходной документации
Продолжение табл. 1
Сборс,,ныйl: Чертеж платы
чертеж
J
Имя слоя
Назначение
Номер слоя
1
Te mplate
Mirror
Mechanica! 12 Зеркальная фор матха по ГОСТ дnя
послойных чертежей платы
Mechanical
13-16
>S:
:Jli
:i::
i
о
::r:
�
'8
(.)
'! !
�
[::
1
�
Дополнительные спои
Таблица 2
Назначение основных слоев
Имя слоя
,Тор Layer
Назначение Таблица 2. Назначение OCIIOB-
ныхслоев
Проводники на верхней стороне платы
Mid-L.ayer1 ,3,5, ... Четный внуrренний проводниковый слой
Чертеж платы
Послойки
Отверстия
+
+
Mid-L.ayer2,4,6, ...
Нечетный внутренний проводниковый слой
+
Вottom Layer
Проводники на нижней стороне платы
+
TopOverlay
а ировка краской на верхней стороне
М рк
+
Вottom Overlay
Маркировка краской на нижней стороне
платы
+
. Тор Paste*
Окна для нанесения паяльной пасты через
трафарет на верхней стороне платы
+
Вottom Paste•
Окна для нанесения паяльной пасты через
трафарет на нижней стороне платы
+
TopSolder
Окна в маске на верхней стороне платы
+
Вottom Solder
Окна в маске на нижней стороне платы
+
• Drill Drawing
Информация, идущая на чертж расположения и усnовноrо обозначения отверстий
ё
• используется по н еобходимости
307
Глава 4. Разработка печатных плат
Таблица з
Настройки печати
Чертеж
Набор слоев
Сборочный чертеж, верхняя сторона
Кontur, Тор�. Тор Dimension
Сборочный чертеж, нижняя сторона
Kontur, Вottom�. Bottom Dimension
Плата, сборочный чертеж
Кontur, Plata
Отверстия
Кontur, Drill Drawing
Послойки
Четные слои
Kontur, Grid, Template, Тор layer
(Mid-L.ayer1 ,3,5)
Защитная маска, верхняя сторона
i Kontur, Grid Mirror, Template Mirror, Bottom
i Layer (Mid-Layer2,4,6)
J Кontur, Grid, Template, Тор Solder
Защитная маска, нижняя сторона
Solder
Маркировка, верхняя сторона
Кontur, Grid, Template, Тор Overlay
Маркировка, нижняя сторона
Kontur, Grid MilYOI', Template Mirror, Вottom
Overlay
Нечеn1ые слои
308
Kontur, Grid Mirror, Template Mirror, Вottom
!
!
r;
1
11
i
Глава 5
Аналого-цифровое моделирование
Система автоматизированного проектирования (САПР) Altium
Designer позволяет моделировать электрические схемы аналоrовых
и аналого-цифровых устройств, разработанные на дискретных
элементах. Моделирование обеспечивает:
• расчет режима работы схемы по постоянному току (расчет
«рабочей точки•>);
• анализ переходных npoueccoв и спектральный анализ;
• частотный анализ;
• расчет режима по постоянному току при вариации одного
или двух источников постоянного напряжения или тока;
• расчет спектральной плотности внутреннего шума;
• анализ передаточных функuий;
• анализ влияния изменения температуры на работу схемы;
• анализ влияния изменения параметров элементов на работу
схемы;
• статистический анализ выходных электрических пара:-.-rетров
схемы;
• расчет допусков на выходные электрические параметры схемы.
При моделировании аналоговых устройств используются алго­
ритмы SPICE Зf5. При моделировании цифровых устройств ис­
пользуется алгоритм XSPICE с описанием моделей uифровых эле­
ментов на языке Digital SimCode.
При проведении моделирования электрическая схема должна
содержать только те библиотечные компоненты, которые имеют
специальные атрибуты с необходимой для моделирования инфор­
мацией (но:-.шнальные значения параметров, имена моделей и
др.). Кроме того, все компоненты должны иметь математические
модели, которые должны находиться в соответствуюших каталогах
(директор11ях).
д,1я проведения моделирования необходимо также использо­
вать специальные компоненты, описывающие источники напря­
жений питания и исто•1ники внешних сигналов. Эти компоненты
находятся в стандартных библиотеках Altium Designer.
Altium Designer поставляется с готовыми тестовыми примерами
по моделированию различных электрических схем, которые нахо­
дятся в каталоге ... \ Altium Designcr\Examples\Circuit Simulation.
309
Глава 5. Аналого-цифровое моделирование
Таблица 1
Множители, используемые при задании параметров
компонентов
Буквенное обозначение
Множитель
т
1012
1а9
G
Meg
к
1
1об
10З
mil
25,4-б
m
10-з
1о-6
'
n
10-9
:
р
10-12
u
f
i
i
10-15
___J
В примерах, приведенных в данном руководстве, использованы
электрические схемы тестовых примеров, включенных в состав
Altium Designer, и даны ссылки на используемые файлы описания
электрических схем. Используя эти файлы, -можно самостоятельно
повторить процесс моделирования в соответствии с описанием
примеров.
Для моделирования должны быть использованы библиотеки
математических моделей, которые включают наборы файлов с
расширением:
СКТ - файлы, содержащие описания макромоделей аналого­
вых устройств (аналоговых микросхем, трансформаторов и др.);
MDL - файлы, содержащие описания моделей полупроводни­
ковых приборов (диодов, стабилитронов, транзисторов и др.) или
ссылки на файлы описания моделей цифровых микросхем;
SCB - файлы, содержащие модели цифровых микросхем.
Подключение и согласование Spicc моделей описано в разделе
2.5.2.2.
Для задания численных значений параметров компонентов ис­
пользуются буквенные множители, набираемые в латинском реги­
стре, например <•n>> означает <•нано,>, «К>> - «кило», и т. д. Допус310
5.1. Источники сигналов
тимые множители приведены в таблице 5.1. Любые другие симво­
ль1, следующие после множителя, игнорируются.
Необходимо, чтобы множитель находился сразу после соответ­
в
ст ующей цифры, наличие пробелов между цифрой и множителем
недопустимо.
Пример:
10, J0V - представляют одно и то же число 10;
2m, 2тА, 2mS - представляют одно и то же число 2 . JO -3;
1000, JK - представляют одно и то же число 1000.
5.1. Источники сигналов
5.1.1. Задание сигналов стандартной формы
Для задания в моделируемой схеме напряжений питания, токов
и входных сигналов стандартной формы применяются специаль­
ные компоненты, описывающие источники постоянных и пере­
менных напряжений и токов. Эти компоненты находятся в стан­
дартных библиотеках, поставляемых с Altium Designer: ...Altium
Designer\Library\Simulation\Simulation Source.lntLib
Основные источнихи напряжений и токов, используемые при
моделировании:
• источники постоянного напряжения VSRC и тока ISRC;
• источники периодического импульсного напряжения VPUL­
SE и тока IPULSE;
• источники напряжения VSIN и тока ISIN синусоидальной
формы;
• источники напряжения ·VPWL и тока IPWL произвольной
формы, задаваемые кусочно-линейной аппроксимацией.
Рассмотрим базовые настройки основных источников питания.
5. 1. 1. 1. Источники постоянноrо напряжения VSRC
и тока ISRC
Для этих источников задается только одна величина - напря­
жение или ток. Для задания величины напряжения или тока, в
свойствах компонента Component Properties ввесrn значение па­
раметра Value в единицах напряжения или тока (см. пример на
рис. 5.2).
311
Глава 5. Аналого-цифровое моделирование
.
?-- . .
SRc·
Рис. 5./. Источник.и постоянного напряжения и ток.а
н.,.
.J--.
..
V.tt.
:1
L�lde
□ t..•,
.J
F� ........,.
о """""'
__..,..
0
S111--r,...s..rrn1oc-
Р.-
StAl''G
Sl\<.'Ci
S1"11'6
SIRNG
51Г,1(i,
f?-l'xl
0Stoo"l'WDoS,_I..,,_
Ошасс1о,
Рис. 5.2. Задание настроек дАя источника постоянного напряжения
VSRC
Дополнительные параметры источников задаются с помощью
атрибуrов, приведенных в таблиuс 5.2.
Для задания значений атрибутов необходимо в свойствах источ­
ника в списке моделей указать текущую модель и нажать кнопку
Edit, после чего в появившемся окне выбрать вкладку Parameters и
отредактировать необходимые значения (рис. 5.2). Аналогично за­
дать все атрибуты в соответствии с таблицей 5.2 и выйти из окна
Sim Modcl, нажав кнопку ОК.
312
5.1. Источники сигналов
Та6Аица S.2
Атрибуты моделирования источников VSRC и ISRC.
\
Амплитуда напряжения или TOka (в единицах напряжения или
то ка). Этот параметр устанавли ется в случае, если источник
ва е источника сигналов при
планируется испо льзовать в качеств
проведении анализа по переменном у току в режиме малых сиг­
налов
1 Начальная фаза сигнала (в градусах) п ри проведении анализа по
переменн ому току �-ре_ж-и_ме_ малых_си ~гнал
� __о�_____..
АС Magnitude
1
J
5. 1. 1 .2. Источники периодического импульсного напряже­
ния VPULSE и тока IPULSE
Параметры источников задаются с помошью атрибуrов, приве­
денных в таблице 5.3.
Для задания атрибуrов необходимо в свойствах источника
Component Properties зайти в опции редактирования параметров
модели Model Sim (рис. 5.2). На вкладке Parameters вести значение
атрибуrа Value и нажать кнопку ОК. Аналоrично задать все атри­
буrы в соответствии с таблицей 5.3 и выйти из режима Mode\ Sim,
нажав кнопку «ОК».
?
PULSE
1?
IPULSE
Рис. 5.3. Источники периодического импульсного напряжения и тока
Таблица S.3
Атрибуты моделирования источников VPULSE и IPULSE
!l атрибутава - �......
Наимено ни е
Назнач ение
--�-�------��,--w�--
j
DC Мagnitude
\
е
(рис. 5.4) ·­
�О�
Амплитуда напряжения или то ка в р ежиме расч ета по
постоянному т оку (в единицах напряжения или тока)
---����..,,...--=---------�-�--..
313
Глава 5. Аналого-цифровое моделирование
Окончание табл. 5.З
наименование
атрибута
Назначение
Обозначение
(рис. 5.4)
1,
i
/>С, Magnitude
Амплmуда напряжения или тока в режиме расчета
параметров малых сигналов (в единицах напряжения
или тока)
/>С, Phase
Фазовый сдвиг источника напряжения или тока в режиме расчета параметров малых сиrналов (в градусах)
lnitial Value
Начальная величина напряжения или тока источмика
(в единицах напряжения или тока)
у1
Pulsed Value
Максимальная величина напряжения или тока имnульса (в единицах налряжения или тока)
у2
TmeDelay
Начало переднего фроtпа импульса (в единицах
времени)
td
Rise 1ime
Длительность переднего фронта импульса (в единицах
времени)
tr
FаН Тime
Длительность заднего фроmа импульса (в единицах
времени)
tf
Pulse Width
Длительность импульса (в единицах времени)
tp
Period
Период повторения импульса (в единицах вwмени)
Phase Delay
Фазовый сдвиг источника напряжения или тока в режиме расчета переходных процессов (в градусах),
т
т
У2
о i----r---t-----+----;r-----------+
Рис. 5.4. Форма сигнала, задаваемого источником VPULSE
или IPULSE
314
\
5.1. Источнини сигналов
Пример: задать прямоугольный илтульс напряжения (рис. 5.5)
с параметрами:
пачальное знацение 11апряже11ия -lB;
.максюtальное зиацение напряжения 1В;
начальная задержка появле11ия импульса 100 ,,,кс;
длитель11ость фро11тов импульса 50 мкс;
длителыюсть и.мпульса 300 мкс;
период 1 1,1с.
На рис. 5.5 приведены атрибугы моделирования импульса.
Po,tMop
DCMog-iuclc
ACM"IJ'IIUdo
ACl'hoao
!nЬмVN...iVм
т...,о..,,
АаеТ"'°
F.t1rne
P\Ase\ll'dh
POltOd
lo
1,
10
1
1
1
1·1
]
j100,
1
1,
ISOu
ISOu
1:nu
1,-1
с_,.,. po,orno1e1
1
1
1
1
1
Pho,o
о
о
о
о
о
о
о
о
о
о
о
O,SOCm
1.ro:m
1:;oo,n
fDEЯC!fATOR У.1 У.2 1·ос IIAGNITUDE" 1 DC ,·ос Ю.GNIТULE" 1
)
ок 11 С-,/ 1
Рис. 5.5. Прямоугольнwй импульс напряжения и его атрибутw
моделирования
5. 1. 1.3. Источники напряжения VSIN и тока /SIN
синусоидальной формы
Параметры источников задаются с помощью атрибутов, приве­
денных в таблиuе 5.4. Задание атрибутов производится аналогично
п. 5.1.1.2.
315
Глава 5. Аналого-цифровое моделирование
?
SIN
?
ISIN
Рис. 5.6. Источники напряжения и тока
синусоидальной формы
Таблица 5.4
-
Атрибуты моделирования источников VS/N и ISIN
Наименование
атрибута
DC Magnitude
1
Обозначение
(рис. 5.7)
Назначение
Амплитуда напряжения или тока в режиме
расчета по постоянному току (в единицах
нзnряжения или тока)
1
1
1,
� Magnitude
Амплитуда напряжения или тока в режиме
расчета параметров малых сигналов (в
единицах напряжения или тока)
АС Phase
Фазовый сдвиг источника напряжения или
тока в режиме расчета параметров малых
сигналов ( в градуса х)
Offset
Постоянное смещение наnряжения или
lтоо источника (в единицах напряжения
или тока)
Amplitude
Амплктуда сигнала (в единицах наnряжения или тока)
Frequncy
Частота сигнала (в единицах изменения
частоты)
f
Delay
Задержка начала сигнала (в единицах времени)
�
Damping Factor
Коэффициент затухания сигнала (в 1/с)
d1
--
Фазовый сдвиг сигнала в момент времени
t=O {в градусах)
q,
Phase Delay
316
!
.
Уо
i
,'
1
Уа
1
1:
!
11
5.1. Источники сигналов
y(t)
181'W ---
••
- ,-
----г
- ----l
1
...... ---.---, -
tav
-
•
-
-•
'
1
,
•· •
.,.. ------t- ...
-- . - ·-- - : ---· - ··--
--
✓ -
•
-
1
-
:
1
td
Рис. 5.7. Форма сигнала, задаваемого источни1'ом VSIN иАи ISIN
Форма сигнала соответствует выражению:
y(t) = Уо при t < td ;
y(t) = Уо + Уа · ехр[ ( t - td ) · dr )*
*Sin[ 2лf ( t - td ) + 2лq> / 360 ) 1
при t � td
Пример: задать источник синусоидального напряжения (рис. 5.8)
с параметрами:
смещение напряжения O.SB;
амплитуда напряжения О.5В;
частота 1 КГц;
задержка начала сигнала 1 мс;
коэффициент затухания О;
фазовый сдвиг О.
5. 1. 1.4. Источники напряжения VPWL и тока IPWL
произвольной формы
Данные источники используются для получения сигналов про­
извольной формы в виде набора значений напряжения или тока в
различные моменты времени.
Параметры источников задаются с помощью атрибуrов, приве­
денных в таблиuе 5.5. Задание атрибутов производится аналогично
п. 5.1.1.2.
317
Глава 5. Аналого-цифровое моделирование
== а'· ,::�
1 .....:,.,1
,__,
-
р�
�Р№е
-
jos
11
jo
,,.
,· �·- 1;
l
1
0.600 F-=---,l--�-lf--+---4----l-► --it-----<
=
�=====;I о,«о
..... ��-г---+---+
Е-----,.---+---+--1---+--+
-+--+----1----4
-1----+----+.-+-....,._--<1_,
.1 ,__
11
1
,,_
1
1
1 0,,00 tc" --,г--+--fl---tr-�1-tt---tt-t-г---tt---Н
1I О,Х,О E:---r'11-, :w1;=I::;:=;==;/\==t=:==i==i==J:==l:j:::=:::;::==1-/
о.,оо ,:.- ...,., .,. г--➔-t-/;-;-\-t---+---+-+---+----t---1
о�: O/m 1=--+---+т-++--+---+-+--+----,!--+---1
f\
�r--+----t---1
� 0,700 E-=-t----,1---tt--H/ �\t+------;-OfJ»l=':--lr---t---1'--!f----fJ''-4'J---+-,,....+----j
=
·��:;;;;;;::::::::;::;;:;:;:::;::::::::;:::;:;:;:;;:;;;:::::;;;:1
fШSJGНAТOII n k2 1'DC K.IGIIIТ\Jot• IDC f'DC ....-,,
:,.
1
-
, :;:::;л;=;:::::::;::;;:::;л =:;--:i,
=:;:::;;:::;:=
,,,,, !8!=���1!➔,�
l!IJо��=-г---Н-1
-+----+-,/11-+-i--++/-+-+--Н-,е+---<
•..:
I
/\
l'J
О,'00 E-:---ct-,J----tj l---t+--ff-t-'DЧ_
r--+-'-1
----t---t--О.3:Х)
l=': --11--tl-rt---t-..,_,_\
/+--+----,!--+---I
� О,Х,О E-:--lt---t----t-\\.,Н-/--+-+--t---1,---t---l
O.ltD P-'-'�......_.�,
.�V���""+U......_......._f-U.'..,__.U..Ч
Oto:)ti
1tфla
1JJ(tl,,
Рис. 5.8. Резу.м,тать� модеАирования источника синусоидаАьного
напряжения в соответствии с примером, при Damping Factor=O
и Damping Factor=500c·1
Рис. 5.9. Источники напряжения и тока произвольной формы
ТабАица 5.5
Атрибуты .моде.А.uрования источников VPWL и IPWL.
Наименование
a'IJ)Ибyra
DC Magnitude
t,C,Мзgnitude
318
Назначение
Амnлюуда налряжения или тока в режиме расчета по
rюстоянному т� (в единицах напряжения или то«а)
Амnлюуда напряжения или тока в режиме расчета параметров малых сиrнапов (в единицах напряжения или
тока)
Обозначение
(рис. 5.10)
5.1. Источники сигналов
Окончаиие табл. 5.5
-Наименование
Обозначение
Назначение
!
а1J)Мбуrа
(рис. 5.10)
Фазовый сдвиг источника напряжения или тока в режи- 1
'
;ACPhase
�
ме расчета парамеtрОВ малых сигналов (в градусах)
1 Тimefvoltage Pairs
'
;ТimejCurrent Pairs
liFJJeName
j
Значения напряжения в заданные моменты времени (в
единицах напряжения и времени). Используется дnя источника напряжения
Значения тока в заданные момеН1Ъ1 времени (в единицах тока и времени). Используется Д/JЯ источника тока.
�. Yi
�. Yi
Задание имени файла описания источника сигнала (до•
полнительная оnция)
y(t)
Уз
У2
У"
У1
t1
t2
tз
t"
Рис. 5.10. Форма сигнала, задаваемого источником
VPWL и.ли IPWL.
Описание кусочно-линейного сигнала может быть сделано сле­
дующим способом: вводом набора из n точек, которые вводятся
н епосредственно в поле таблиuы «Time/Value Pairs>> в свойствах
модели источника сигнала (рис. 5.2). Время, задаваемое для каж­
дой последующей точки, должно быть больше, чем для предыду­
щей. Если в какой-то точке это условие не будет выnолнено, то
цикл будет закончен, и все последующие точки, начиная с этой, в
него не войдут.
5.1.2. Задание сигналов сложной формы
Для задания в моделируемой схеме сигналов сложной формы,
таких, как пачки импульсов, синусоидальные сигналы переменной
319
Глава 5. Аналого-цифровое моделирование
частоты, последовательности прямоугольных импульсов с пере­
менным периодом, сигналы треугольной и пилообразной формь,
и т. п., используются спеuиальные компоненты, и комбинаuии из
этих компонентов и источников сигналов простой формы.
5.1.2.1. Компонент перемножения напряжений MULТV
�1��-�---Q�I
М?
МULTV
Рис. 5.11. Компонент перемножения напряжений
Данный компонент реализует функuию Q(t) = Vl(t) · V2(t) 11
расположен в библиотеке: ... \AltiumDesigner\Library\Simulation\
Simulation Math Function.lntLib, как и большинство других компо­
нентов, реализуюших математические функuии.
Пример: для получения 11апряжения Uоит, форма которого приве­
дена на рис. 5.12, используется комбинация источников Vl (напря­
жение Uт1), V2 (напряжение U1ю) и компонента VЗ MULTV, приве­
денная ua рис. 5.13.
O,OOD'ns
\) Otl:
1,oooms
2,000ms
'1
1
3.000ms
4,000ms
1
'
'
5,000ms
�.ooov
o,ooov
1) П1
O.OOOV
1,000V
o,ooov
Рис. 5.12. Форма напряжений источников VJ и V2 для получения
напряжения U оит
320
5.1. Источники сигналов
vз
INТI
---------v1
INТ2
��-... V2
Q 1'--_---'о;..;uт;..;...
1
МULTV Атоибvты источников наnряжениR V1 и V2:
l'l
GND
GND
tJ!
Initial \"alu�
Jnitial \'alue=O
Pulsed \,'alur-S
Pu\sed \,'alu� 1
Тiш, Delav=O
Тiш, Dela,=0.99m
Rise Timr-lOn
RiseTime-lOn
Fal\Tim... тon
Fall Tim.,.,IOn
Pulse Widtb..(). I m
Pu!se \\'idtb= 1 m
Period•0.2m
Period•2m
Рис. 5.13. Схема формирования напряжения Uouт
5. 1.2.2. Управляемый напряжением rенератор
синусоидальноrо сиrнала SINEVCO
V?
+
VCO-Sine
Рис. 5.14. Компонент SJNEVCO
Данный компонент формирует напряжение синусоидальной
формы, частота которого определяется поданным на входы <<+» и
<•-» напряжением. Этот компонент (как и похожие на неrо компо­
ненты SRQVCO и TRIVCO) расположен в библиотеке: ...\
Simulatioh Special Function.lntLib
Параметры компонента задаются с помощью атрибутов, приве­
денных в таблице 5.6. Задание атрибутов производится аналогично
n. 5.1.1.2.
11 зак. 32
321
Глава 5. Аналого-цифровое моделирование
Таблица 5.6
Атрибуты моделирования компонента SINEVCO
Наименование
атрибута
Назначение
Значение
по умолчанию
Минимальное значение выходного напряжения (в едини•
цах напряжения)
-1В
HIGH
Максимальное значение выходноrо напряжения (в единицах напряжения)
1В
С1
Точка U1 входного управляющеrо напряжения (в единицах напряжения)
ов
F1
Частота выходного сигнала, соответствующая управляющему напряжению U1 (в единицах частоты)
ОКГц
С2
Точка U2 входного управляющего напряжения (в единицах напряжения)
1В
F2
Частота выходного сигнала, соответствующая управляющему напряжению U2 (в единицах частоты)
1кrц
С3
Точка UЗ входного уnравляющеrо напряжения (в единицах напряжения)
2В
FЗ
Частоrа выходноrо сигнала, соответствующая управляющему напряжению UЗ (в единицах частоть,)
2КГц
С4
Точка U4 входного управляющего напряжения (в единицах напряжения)
зв
F4
Частота выходноrо сигнала, соответствующая управляющему напряжению U4 (в единицах частоть,)
зкrц
С5
Точка U5 входного управляющего напряжения (в единицах напряжения)
4В
F5
Частота выходноrо сигнала, соответствующая управляющему напряжению U5 (в единицах частоты)
4КГц
.
Управляющему напряжению U 1 ... U 5 будуr соответствовать час•
тоты выходного напряжения Fl ... F5. Для промежуrочных значе·
ний управляюшеrо напряжения частота будет линейно интерполи·
роваться. Задавать необходимо,все 5 точек управляющих напряже­
ний и частот, в противном случае для незаданных точек буду,
приняты значения по умолчанию в соответствии с таблицей 5.6
Следующий пример поясняет работу компонента SINEVCO.
322
5.1. Источники сигналов
Пример: получить синусоидальное 11апряжение Uouт с мини.маль­
ны1,1 значением напряжения ОВ, .максимальным значением напряже­
ния 10В и линейно изменяющейся частотой от О до JОКГц в интер­
вале времени от О до 10 мс (рис. 5.15).
Рис. 5.15. Синусоида11ьнwй сигнаА с меняющейся частотой
Источник V1 управляющеrо напряжения Ucontrol задает ли­
нейно изменяющееся напряжение O... lOB на интервале времени
0 ... 10 мс:
Time/Voltage Pairs=Oms О lOms 10
Атрибуrы компонента V2:
LOW=O
HIGH=10
С1=0
F1=1
С2=2.5
F2=2.5k
СЗ=5
FЗ=5k
С4=7.5
F4=7.5k
С5=10
F5=10k
CONТROL
V2
ост
VCO-Sine
Рис. 5.16. Схема формирования напряжения Uout
11•
323
Глава 5. Аналого-цифровое моделирование
Аналогичным образом можно использовать компоненть1
SRQVCO и TRIVCO для установки управляемых напряжением г е­
нераторов прямоугольного и пилообразного сигнала. Таким обра­
зом, для формирования сиг налов сложной формы можно исполь­
зовать набор функций, компоненты которых сгруппированы в
библиотеках Simulation Math Function.lntLib и Simulation Special
Function. IntLib.
5.2. Подгото·вка электрической схемы к
моделированию
Моделирование электрической принцициальной схемы элек­
тронного устройства, созданной в схемном редакторе, может быть
проведено после ряда подготовительных операций:
1) Из схемы исключаются компоненты, не имеющие математи­
ческих моделей (разъемы, элементы коммуrации и т. п.).
2) Из схемы рекомендуется исключить функциональные узлы,
непосредственно не влияющие на результаты моделирования, или
такие функциональные узлы, которые можно заменить на источ­
ники сигналов и постоянных напряжений и токов (например, ге­
нераторы тактовых частот, источники и стабилизаторы напряже­
ний питания и т. п.). Исключение таких функциональных узлов
может существенно уменьшить время моделирования схемы.
3) При необходимости добавляются цепи внешней коммутации
схемы (элементы, подключаемые к разъемам при проведении проверок схемы и т. п.).
4) В схему необходимо добавить источники питания и источни­
ки, формирующие входные сигналы, а также задать необходимые
атрибуты этих источников (см. раздел 5.1).
5) Цепи «земля» должно быть присвоено стандартное имя GND.
6) Цепям питания микросхем должны быть присвоены ста н­
дартные имена (обычно VCC, VDD), которые должны соответст­
вовать именам цепей, к которым подключены скрытые выводы
микросхем.
7) Необходимо обеспечить наличие файлов математических мо­
делей всех используемых в схеме компонентов (раздел 2.5.2.2.).
8) Цепям, которые входят в узлы, сигналы в которых необходи­
мо визуально оценить после моделирования, рекомендуется при­
своить уникальные имена, для удобства ссъшки на них.
324
5.2. Подготовка электрической схемь, к моделированию
На рис. 5.17а приведен фрагмент электрической принципиаль­
ной схемы, составленной для проведения конструкrорскоrо про­
ектирования. На рис. 5.176 приведен фрагмент этой же схемы,
подготовленной для проведения моделирования. На схеме
рис. 5.176:
• генератор тактовой частоты (BQl, DDI.3, DDI.4, DDl.5) за­
менен источником импульсного напряжения UЗ;
• добавлен источник импульсного напряжения U2 для задания
внешнего сигнала SBROS1;
• исключен элемент коммутации S1 с заменой его на пере­
мычку для обеспечения конкретного режима работы схемы;
• исключен разъем Х1 ;
�
•
- .Cf • . • •
и
Рис. 5.17. Фрагмент электрической принципиальной схемы
электронного устройства (а) и фрагмент этой же схемы,
подготовленной для модеАирования (6) (начало)
325
Глава 5. Аналого-цифровое моделирование
. . . . . . . . . . .. .. .
... .. .. .. . .. .. .. .. .. .. .. .. . .
. .
... ...
.
..
.
•
•
•
.
.
..
...
...
.
• 81 • •
..
6
Рис. 5.17 (окончание)
• стабилизатор напряжения питания на микросхеме DA 1 заме­
нен источником постоянного напряжения U l;
• заданы имена необходимых цепей F, Al, ED, Dl2D0,
D10D0, OUТI, D5PO, KOORD.
5.3. Моделирование электрических
схем
Для настроек и запуска процесса моделирования используетс я
специальная панель Mixed Sim, которая �по умолчанию>) скрыта.
Чтобы вызвать эту панель необходимо нажать правой кнопкой
мыши в любом месте меню и из возможного списка панелей вы­
брать нужную панель (рис. 5.18.)
326
5.3. МоfJелирование �/lt1N11pu-. .... nwA VAv••·
tle/p
Мхеd Slm
�ct,doc •
Formatbng
utilities
Wll'ing
Navigation
Customize ...
Рис. 5.18. Добавление панели Mixed Sim
Появившаяся панель имеет три комаНдьt:
• Run Mi.xed Signal Simulation - запуск процесса моделирова­
ния (клавиша F9)
• Setup Mi.xed-Signal Simulation - настройки моделирования
• Generate XSpice Netlist - формирование общей модели схе­
мы, при этом происходит проверка схемы.
Перед установкой настроек и выполнения моде.,1ирования, ре­
комендуется запустить проuесс проверки, который устанавливает
наличие моделей у компонентов и соблюдение остальных фор­
мальностей, описанных в разделе 5.2.
При запуске команды Generate XSpice Netlist появляется
окно Messages (рис. 5.19), в котором перечислены сообщения об
ошибках в данной схеме. В рассматриваемом случае, сообщения
говорят об отсутствии модели у компонента Vfl (VТI - No
SIM implementation for part) и отсутствии подключения элемен­
тов схемы к земле (GND Spice Reference Net is not in the
schematic)
МetJo
----
o..-.,.G......,;o S1a1(),JpJG«,,,,.ьo,,д12Э:45.I0Ot\1a1z.m
(JUl>l,(Genel•o N.,_ M.-dS.. l�AМirflelW Foom:f'loiod!Sбб._..M�otol'!\JPC81
81(11О1)
М.S■
iii1Mi':tf4 Wf& 1•:
N�
1
2
W
4
GtIO SP<O F!tl"""" N« f1l,oc,t,d n S.«цi Otfu,I} • no1 "11w ochlмlr.
м.s..
� -ИoWЬn!I'< O.\l'logl,..Roa\AwnDe,_Vlnor09\U,rtlj,\Sml,;a.\Sn.lolD, SOOOII F"' 5
Di!frll
(G�ritl 0111>1 Gorw.r !i5� 1'..Ы.C1I (Гnnid CupJJ Oll;ai G".r tnll>ed Ou;>J G_....,д1 21 (5.11 0n 1812 2!Х»
А-"рvоип .. .,.
327
Глава 5. Аналого-цифровое моделирование
5.3.1. Составление задания на моделирование
Начальным этап проектирования является проuесс состав ления
задания на моделирование, для чего на экран выводится диалого­
вое окно Analyses Setup (рис. 5.20), с помощью нажатия кнопки
Setup Mixed-Signal Simulation. В этом окне задаются необходимые
виды анализа и выбираются схемные переменные, т. е. напряже­
ния в узлах схемы, токи в uепях схемы, комплексные сопротивле­
ния, рассеиваемые мощности на элементах схемы, дЛЯ их сохране­
ния в файле результатов и графического отображения.
'��°Ё.-:J
c-...is....,
QJ.w,g?cn:�
I•-�
"�
�
ocs-�
��.... s.,..,..,�.,
О
О
�...�...
f)
, ........�.s-s-
о
-�
1..-runon�
-с�ь.,._
r.,u.P�,
о
k-мSv.-
[j
о
О
-юо--
�------�
�:-
'---------'
а анаАиза и вы ор переменных схемы
5.3. 1. 1. Задание вида анализа
Виды анализа, задаваемые при моделировании:
Operating Point Analysis - расчет режима работы по постоянно­
му току (расчет <<рабочей точки,>) при линеаризации моделей не­
линейных компонентов;
Transient/Fourier Analysis - анализ переходных процессов и
спектральный анализ;
АС Small Signa\ Analysis - частотный анализ в режиме малых
сигналов (дЛя нелинейных схем выполняется в линеаризованном
режиме в окрестности рабочей точки по постоянному току);
328
5.3. Моделирование электрических схем
DC Sweep Analysis - расчет режима по постоянному току при
вариации одного или двух источников постоянного напряжения
нли тока;
Noise Analysis - расчет спектральной плотности внутреннего
шума;
Transfer Function Analysis - расчет передаточных функций в реж11мс малых сигналов;
Temperature Swecp - режим изменения температуры;
Parameter Sweep - изменение параметров элементов;
Monte Carlo Analysis - статистический анализ по методу Мон­
те-Карло.
В поле Analyses/Options выбирается один или несколько видов
анализа, отмечая необходимые опции в колонке EnaЬ\ed (рис. 5.20).
5.3. 1.2. Выбор схемных переменных
Данная операция производится на вкладке General Setup в поле
Analyses/Options.
Режим сохранения данных о схемных переменных при модели­
ровании выбирается в поле Collect Data For (рис. 5.20).
Возможные варианты выбора режима сохранения данных:
Node Voliage and Supply Cuгrent - потенциалы всех узлов и
токи всех источников;
Node Voltage, Supply and Device Cuгrent - потенциалы всех уз­
лов, токи всех источников и компонентов;
Node Yoltage, Supply Current, Device Cuгrent and Power - по­
тенциалы всех узлов, токи всех источников и компонентов, рас­
сеиваемая во всех компонентах мошность;
Node Voltage, Supply Current and Subcircuit YARs - потенциалы
всех узлов, токи всех источников в основной схеме и макромоде­
лях;
Active Signals - выбранные сигналы (только те, имена которых
помешены на панели Active Signals).
При моделировании достаточно больших схем рекомендуется
выбирать режим сохранения данных Active Signals, т. к. только в
этом случае можно обеспечить минимальный размер файла ре­
зультатов моделирования.
В поле SimView Setup необходимо выбрать Show active signals
(рис. 5.20).
В поле AvailaЬ\e Signals приводится перечень всех сигналов,
доступных в соответствии с выбранным режимом (при выборе ре329
Глава 5. Аналого-цифровое моделирование
----·
жима Active Signals, перечень содержит все типы схемных пере.
менных). В поле Active Signals приводится перечень сиrналов, rpa.
фики которых строятся по окончании моделирования. Из одного
поля в друrое все сиrналы переносятся нажатием клавиш <•>>,> и
«<<». Выбранные правой кнопкой мыши сигналы переносятся на­
жатием кнопок <•>>> и �<». Позже, при необходимости, состав rpa.
фиков можно изменить.
Дополнительные обозначения в поле AvailaЬle Signals:
#branch - ток через источник напряжения;
[i] - ток через двухполюсный компонент;
(р) - рассеиваемая мощность;
[z] - модуль комплексного сопротивления (равноrо отношению ЭДС сигнала к току через источник сигнала);
[ib] - ток базы транзистора;
[ic] - ток коЩiектора транзистора;
'[ie] - ток эмиттера транзистора.
После нажатия на панели Advanced... и Preferences... (рис. 5.20)
открываются диалоговые окна настройки параметров алrоритмов
моделирования и параметров системы. Изменять эти данные ре­
комендуется только квалифиuированным пользователям.
5.3.2. Задание параметров для конкретного вида
анализа и моделирование
Задание параметров режимов моделирования производится вы­
бором соответствующей строки в поле Analyses/Options. Режим
Operating Point Analysis параметров не имеет.
Описание параметров для конкретных видов анализа и приме­
ры их задания, а также примеры моделирования для конкретных
видов анализа будут приведены ниже.
5.3.2. 1. Запуск моделирования
После выбора всех необходимы х видов анализа и задания их
режимов, необходимо закрыть окно выбора режимов Analyses
Setup, нажав кнопку <<ОК» (рис. 5.20) и запустить команду Run
Mixed Signal Simulation (рис. 5.21 ).
Прервать процесс моделирования, до его завершения, можно
нажав на пиктоrрамму □ - Abort Simulation.
Результаты моделирования (файл SDF) и список соединений
схемы (файл NSX) будут сохранены в директории <•Project Outputs
330
5.3. Моделирование электрических схем
Run Mixed 5ignal Simulation (F9)1
Рис. 5.21. Запуск. моделирования
for <имя файла схемы>» которая располагается в той же директо­
рии, в которой находился файл описания схемы. Кроме того, в
той же директории, в которой находился файл описания схемы,
создается файл проекта <<<имя файла схемы> .PJjPcb».
В открывшемся окне Projects отображается дерево выбранного
проекта, в котором можно открыть раздел (<SimuJation Documents»
щелчком левой кнопки мыши по символу »+» перед названием
раздела (рис. 5.22).
1•
)
f
•
1 • •
t,Jl_.,.)o('!/dJ°""flc<.._�!:t>OI
r.1!��.з:11.11�� � � ·, ::rаэ
.,с
==��-----------:,-:;
�;;._ .;� npi
•SсЬоа.«с1с №-cJ11t:
с1 =�т о o.01ur
F-t,.j�ffitti:Etft+H;i1144
СТ ПlfG- О О. 1"1
.IC V(Тl!IGl2:1>)•0V
ltl VCC Р3Т n
/!А УСС осв:; lk
r<& DCIIG П,I= 1.11
�� О\1Т О lot
ili Sosol Ooanwt,
[:.l!5155A,i,t,lel' ___ _
1Е"
!2
1.Ьа111
G�
е•д6,,--..t:-m№ОЫ.
lit!fiб __ _
Xl.11 О ТR!GG!:R 0VТ i:t.5Т CVOLT ТR.IG<U К'!Ю VCC .SSS
Sn.Je,iмO�,
..,,."°"
о...
Tne(a}
Рис. 5.22. Отобоажение деоева nrJOeкma в среде Altium DesiJ!.ner
331
Глава 5. Аналого-цифровое моделирование
При выборе файла SDF в разделе <<Simulation Documents» мы
попадаем в режим просмотра и обработки резульrdтов моделиро­
вания (рис. 5.22А). При выборе файла списка соединений схемы
(NSX) в разделе «Source Documents>> (рис. 5.22Б) обеспечивается
возможность редактирования файла списка соединений, стан овят­
ся доступными команды <<Simulat�>Run» и «Simulate>Setup... »
5.3.2.2. Расчет режима работы по постоянному току
(Operating Polnt Analysis)
Расчет режима работы по постоянному току (Operating Point
Analysis) может проводиться как самостоятельный вид анализа.
При выполнении анализа переходных процессов (Transient
Ana\ysis) и частотного анализа (АС Small Signal Analysis) этот рас­
чет проводится автоматически. Во время этого вида расчета опре­
деляется режим работы по постоянному току (DC) для всей схемы
в целом, при этом эквивалентом для индуктивности является пе­
ремычка, а эквивалентом емкости - .разрыв цепи. Для всех нели­
нейных элементов схемы определяются линеаризованные модели
для малых сигналов, которые используются при проведении рас­
четов частотных характеристик схемы. Все источники переменно­
го напряжения при этом не учитываются. Для вывода результатов
расчета Operating Point Ana\ysis необходимо включить соответст­
вующую опцию в соответствии с п. 5.3.1.1 i-r рис. 5.20, а затем вы­
брать необходимые переменные схемы (в соответствии с п. 5.3.1.2)
для их сохранения в файле результатов и отображения.
Пример: расчет режима работы по постоянному току схемы
(. .. 'y1ltium
Designer\Examples\Circuit
Simu/ation\Common-Emitter
Amplifier) для напряжений в узлах В, С и Е. Пример задания на рас­
чет и результаты расчета приведены па рис. 5.23.
5.3.2.3. Анализ переходных процессов (Transient Analysis)
Сиrnалы, получаемые в результате анализа переходных процес­
сов (Transient Analysis) являются результатом вычисления схемных
переменных (напряжений и токов), как функций времени на за­
данном временном интервале. Вне зависимости от использования
опции Use Jnitial Conditions (см. ниже), определяющей начальные
условия, для определения постоянных составляющих в схеме пе­
ред моделированием переходных процессов, автоматически произ­
водится расчет рабочих точек Operating Point Analysis.
332
5.3. Моделирование электрических схем
Eмblod
G-t1S8'0
o..,ow,oPcn�
�
OCS-.Anot,-,,,
0
н-�
ро1,н...,�
t,..leoft..cl,on�
,_... s_
о
,,_..,,.,_
ACStNISq,,/�
P•-•S-
"'ont•c.lo�
Gl:twilP•­
дdvradO-
о
0
О
0
О
0
о
С1{1
C1fol
t,\)
Olol
а!1
IN
OUT
01(1>)
01fc)
011•1
011.ol
R1)�
R1)1:)
'""""....,.._
OOtu
�--· ul
2,212V
8,775V
1�V
Ut
R5
10
т,......... ,.,,..,.
Рис. 5.23. Пример задания на расчет режима работы по
постоянному току и резуАьmаты расчета эАектрическои схемы
Common-Emitter Amplifier
Задание на расчет переходных лроuессов устанавливается на
вкладке Traлsient/Fourier окна выбора режимов Analyses Setup
(рис. 5.24).
В поле Transient Analysis, олuия которого должна быть включе­
на, задаются общие параметры задания на расчет переходных npo­
ueccoв:
Start Time - началъное время отображения результатов модели­
рования (моделирование всегда начинается в момент времени t =
О, однако до момента Start Time его результаты не отображаются на
экране, не сохраняются и не участвуют в спектральном анализе);
Stop Time - конечное время моделирования;
Step Tirne - начальный шаг по времени (в проuессе моделиро­
вания величина текущего шага выбирается автоматически, но не
более, чем Maximum Step);
Maximum Step - максимальный шаг по времени. По умолча­
нию он выбирается равным меньшей из величин Step Time и (Stop
Time - Start Time)/50;
333
rлава 5. Аналого-цифровое моделирование
Analysus Selup
(fll�\
Tran,ient�mSetц>
�,o,/Oplians
I Eмbled , 1
G�Selup
Pe,e,neier
Vu
Оре,� Portдмysis
�
Т ransient Stat Tino
О.ООО
2j
Tr�StopTimo
1.5ron
DCSwщ,�
0
1.000..
Tr�
Step
Time
АС SF'IIIISpAn�,s
0
т,�м�stерт..,,,,
2.ООС..
Nciie дпа1уяs
0
U;e 100!!! �
Pole·Zero ДМjy:is
О
Tra,$/e, FW>Clionдnaysis
0
Т eщ,e,atuo Sweep
0
Ur:e Ti.onsien Del.....Ь
Pe,11mete, Swoep
0
Oelauk Cyclet Dis�
5
Monte u.rloдмlysis
0
50
Delauk Pocrts Ре, Cyde
G� Pe,.,,meters
м,,,nсе,1 о�
ЕмЫе Fouie,
Fc:uiet tl.lf'd.>rnenlal Fr�
100.0
Fouier N umt,e, о/ Ha,mor,ic$
10
---
о
о
о
[ Set Qela.Jts
[ Prele,ences..
__о_к__,/1
j
Смсе1
А
Analyses Setup
[1)�
�plions
1 General Setц>
Oper�tr,g Pointд,Wllysit
т,went�m
DCSwoep�
АС Smal 5-,,.IAN+,,m
N�едпаlуш
Pole•Ze,oдnat,,sil
Trмsler F"'1CЬon�sis
Тeщ,,,r<>ltoe Sweep
p..,e,ne1e, Swoep
Monte u,,loдn,,lym
Globll p..,,ome,te,s
lм.,enced Options
u,e т,_,е,,1 Del�s
Deld Cycles Displ.,yed
DefllUt Poi,ts Ре, Cycle
5
50
En..ьle Fouier
Fc,,,,,, r_..,:1,,.,,erl.al Fr,:q;,.;,,cy
100.а
о
,о
.J
1 Se! Qeld• I
[ PrelerenceL..
!
DK
![ C.-ncel
Б
Рис. 5.24. Задание параметров режима Transienl Analysis
334
5.3. Моделирование эпвктрических схем
Use Initial Conditions - использование начальных условий (при
включении этой опuии расчет режима по постоянному току про­
пускается, а начальные условия устанавливаются на схеме для ка­
ЖдОГО реактивного компонента или для схемы в целом с помошью
сnеuиальных компонент IC).
При необходимости автоматического выбора перечисленных
выше параметров (кроме Use Initial Conditions), можно воспользо­
ваться опuией Always set defaults - всегда назначать параметры по
умолчанию (в поле Default Parameters), включив ее. При этом руч­
ное редактирование этих параметров становится недоступным
(рис. 5.246). В этом поле задаются параметры, устанавливаемые по
умолчанию:
Cycles Displayed - количество отображаемых периодов имею­
щегося в схеме источника сигнала с наименьшей частотой Fmin
(наибольшим периодом Tmax);
Points per Cycle - минимальное количество расчетных точек на
одном периоде имеющегося в схеме источника сигнала с наивыс­
шей частотой Tmax (наименьшим периодом Tmin).
После задания параметров Cycles Displayed и Points per Cycle
необходимо нажать панель Set Defaults - установить в данный мо­
мент значения параметров по умолчанию, для их автоматического
вычисления и установки.
Для установки по умолчанию автоматически вычисляются следующие значения параметров:
Start Time = О;
Stop Time = ( 1 / Fmin) · Cycles Displayed =
Tmax · Cycles Displayed;
Step Time = ( 1 / Fmax) / Points per Cycle = Tmin / Points
per Cycle;
Maximum Step = Step Time.
Например, если в схеме имеются два источника импульсов с
периодом I мс и 0.5 мс, то в этом случае при задании параметров
Cycles Displayed = 5 и Points per Cycle = 50, будут установлены
(рис. 5.246):
Start Time = О;
Stop Time = lm · 5 = 5m;
Step Time = 0.5m / 50 = 0.0lm = 10u;
Maximum Step = I0u.
335
Глава 5. Аналого-цифровое модвлирование
В поле Spice Options режима Advanced Options, можно изменить
заданный по умолчанию метод численного интеrрировщиs�
(Integration method) дифференциальных уравнений схемы. По
умолчанию задан метод трапеций (Trapezoidal). Имеется возмож­
ность изменить его на метод Гира порядка 2... 6 (Gear2 ... 6), пред­
назначенный для анализа широкополосных устройств, имеющих
значительно отличаюшиеся граничные частоты, - чем выше по­
рядок метода, тем выше точность расчетов и больше длительность
моделирования и параметров системы.
Все остальные параметры этой вкладки (режима) определяют
стандартные настройки алгоритмов моделирования. Изменять их
нельзя, кроме случаев, рассмотренных в разделе 5.4.
Пример: расчет переходных процессов в аналоговой схеме пикового
детектора (. .. \ Altium Designer\Examples\Circuit Simulation\Peak
Detector) (рис. 5.25) с выводом графика напряжения на выходе (в у311е
РЕАК). Пример задания на расчет и результаты расчета. приведены
на рис. 5.26.
Рис. 5.25. Электрическая схема пикового детектора
•Peak Detector•
Пример: расчет переходных процессов в цифро-аналоговой схеме
4-х разрядного генератора-счетчика импульсов (. .. \A.ltium Designer\
Examples\Circuit Simulation\Mixed-mode Шпагу Ripp/e 555) (рис. 5.27)
с выводом графиков напряжений в узлах THDD, DCHG, ОUТ и на
выходах О1, 02, 03, 04. Пример задания на расчет и результаты
расчета приведены на рис. 5.28.
336
5.3. Моделирование электрических схем
r -
....,,...wi.,
__
-­...�.......-­
·-�-­
.............._
,он-­
.......,....._
, ,...,,,..,...,
.
GtUP....,..,.,
r�«u
- ·- ·�
���
�t1�---��11t·
oin.-..
tt,..,..,... ,,....... �
Рис. 5.26. Пример задания на расчет переходных процессов и
реэgАьтаты расчета эАектрической схемы пикового детектора
«Peak Detector•
•
"'
lf.,J�r
Т1tЮ
DISCНC
OUT
ТИОU>
CVct.T
...
t--'
\1СС
.,
...
,,
ONO
Рис. 5.27. ЭАектрическая схема 4-х разрядного генератора-счетчика
импульсов «Mixed-mode Binarg Ripple 555•
337
--..
=-
Глава 5. Аналого-цифровое моделирование
.... --
1!,
__
,.,___...,.___
,..·-_,.,___�-,___. .·
·._.__
,�•- � ...
-f:-- ---1 1
,
r.:·•.
�-..
�r-�
1
1
1
1
...�с...=.
.__
.•
�- ).,.т.;..f="""=--=---:;:
r,,o
..
1
1
1
1
1
1 1
�
1� _!_- ... ..
'
1 1 1 1
r.:-: 1
1 i
1 i2h
1-1 .
�G �
>
1
1 1 1 1 1
1 ·-·
1
'
-Рис. 5.28. П и.ме
ания на асчет пе ехоdных п о ессов и
------ 1
,,_,,__
i:......,_
.,
г,
с
-i::-.--
1..-tt.t·-
l.::Ь:
i.-.-.,-
�D
�,-.... ца
j
_...�
,;;;.s.;-,_--,
-- .i,o.
""-rl"-•
р
����-;--
1t
р Эй(
J-�
-�
1
1
р
р
р ц
----'
результаты расчета схемы 4-х разрядного генератора-счетчика
и.мпу.льсов •Mixed-mode Binary Ripple 555•
5.З.2.4. Задание начальных условий при анализе переход­
ных процессов
В некоторых случаях, например при проектировании неустой­
чивых и бистабильных схем, перед выполнением моделирования
может потребоваться предварительн ая установка значений напря­
жений в узлах схемы.
·.rc
.NS_.
Рис. 5.29. Метки нача.льных усАовий
Программа моделирования содержит для этого несколько инст­
рументов, включая модули установки параметров узла .NS
(Nodeset) и начальных условий JC (Jnitial Condition), а также оп­
цию установки начальных условий Use Initial Condition. Модули
установки параметров узла (.NS) и начальных условий (.JC) распо­
ложены в библиотеке:
... \Library\Simulation\Simulation Sources.lntLib
338
5.3. Моделирование электрических схем
Для этих модулей задается только одна величина - напряже­
ние. Для задания этой величины, в свойствах компонента
Component Properties нужно перейти в свойства модели и на вклад­
ке Parameters задать значение напряжение (аналогично рис. 5.2).
Модуль установки параметров узла .NS используется для зада­
ния начального напряжения в узле схемы во время предваритель­
ного прохода расчета рабочих точек. После предварительного про­
хода ограничения снимаются и итерации продолжаются до полу­
чения правильного значения смещения. При помещении модуля
.NS необходимо задать в параметре Initial Voltage амплитуду на­
пряжения в узле.
Модуль задания начальных условий .IC используется для уста­
новки временных начальных условий. Способ, которым програм­
ма моделирования определяет начальные условия, зависит от .IC
модулей, и устанавливается опцией Use Initial Conditions, располо­
женной на вкладке Transient/Fourier диалогового оRНа Analyses
Setup.
Если опция Use Initial Conditions не включена на этапе расчета
рабочих точек, напряжение в узле. определяется значением, зада­
ваемым .JC модулем. Во время последующего анализа переходных
процессов это ограничение снимается. Этот метод является пред­
почтительным, так как позволяет программе моделирования полу­
чить правильное решение по постоянному току.
При помещении модуля .IC необходимо задать в параметре
Value амплитуду напряжения в узле (например, 5В).
Каждый модуль задания начальных условий должен иметь уни­
кальное позиционное обозначение.
В случае установки опции Use Initial Conditions на вкладке
Transient/Fourier диалогового окна Analyses Setup, расчет рабочих
точек не производится. Вместо этого напряжения в узлах опреде­
ляются .IC модулями, которые используются при вычислении на­
чальных условий для конденсаторов, диодов, биполярных, поле­
вых и МОП транзисторов. Поскольку расчет рабочих точек не
производится, необходимо установить соответствующие значения
напряжения для всех узлов.
При включении опuии Use Initial Conditions моделирование пе­
реходных процессов начинается с заданных начальных условий,
минуя этап расчета рабочих точек. Эта опция позволяет начинать
моделирование переходных процессов со значений, отличающихся
от статических рабочих точек.
339
Глава 5. Аналого-цифровое моделирование
Для использования этой опции необходимо или определить на­
чальные условия для каждого компонента схемы, или разместить
на схеме специальные модули .IC, определяющие начальные усло­
вия, заданные в атрибуrе Value.
Если у какого-либо компонента начальные условия не опреде­
:1ены, они берутся равные нулю.
Обычно лучшим способом задания начальных условий для ана­
лиза переходных процессов, является использование модулей .IC.
Следует отметить, что значения начальных условий, заданные
для компонентов, имеют бо)Jее низкий приоритет по сравнению
со значениями, заданными в модулях .IC, подсоединенных к тем
же цепям.
При необходимости определения начальных условий непосред­
ственно в компонентах схемы, например начальное значение на­
пряжения на конденсаторе, необходимо задать начальные условия
в атрибуте IC этих компонентов.
Атрибуты JC, заданные в компонентах, действуют только при
установленной опции Use Initial Conditions в диалоговом окне
Analyscs Setup.
Назначение атрибутов задания начальных условий в компонен­
тах приведено в таблице 5.7.
Таблица 5..7
Назн.ачен.ие атрибутов задания начальных условий ./С в
компон.ен.тах
Компонент
f-Конденсатор (Capacitor)
1 Катушка индуктивности (lпductor)
диод (Diode)
!
i
Биполярный транзистор (ВJТ)
Назначение атрибута IC
Напряжение на конденсаторе в нулевой момент времени.
Ток, протекающий через индуктивность в нулевой момент времени.
Напряжение на диоде в нулевой момент времени.
1
Напряжения база-эмиттер (Ut>e) и коллектор-эмиттер
(Uce) в нулевой момент времени (два значения, разделенные запятой).
Напряжения сток-исток (Uds) и затвор-исток (Ugs) в ну- 1
"°'p-n"переходом
'°" """'""ар
' ,.,,,.,,.,щ,, левой
момент времени (два значения, разделенные за(Jffi)
1
МОП - транзистор (MOSFEI')
·--=--=:---·
340
пятой).
Напряжения сток-исток (Uds), затвор-исток(Ugs) и подложка-иС'ТОк (UЬs) в нулевой 1.1Омент времени (три значения, разделенные залятой).
!
1
1
5.3. Моделирование злектрических схем
Окончание табл. 5. 7
Компонент
Полевой транзисrор с барьером
Womи(MESIO)
назначение атрибута IC
Напряжения сток-исток(Uds) и затвор-исток(Ugs) в нулевой момент времени(два значения, разделенные зап ятой).
Напряжение и ток дn11 каждого порта линии передачи в
нии ередачи(Тransmission Unes) нулевой момент времени(два значения, разделенные эаL :
пятой).
Пример: расчет переходных процессов в схеме мультивибратора
(... \Altium Designer\ Examples \ Circuit Simulation \ВistaЬ/e Multivibrator)
(рис. 5.30) с выводом графиков напряжений в узлах NЕТСЗ_2, Bl,
В2, С/ и С2.
Начальные условия заданы модулями: .NSJ (Ov) для цепи Cl и
.NS2(10v) для цепи С2.
NS1
�
Yov
R1
1К
С1
\/СС
200pF
.С2 200pF
R2
1К
NS2
R4 З9К
RЗ З9К
10�
J-+---+C2
VT2
VT1
R5
Rб
10К
10К
С4
_J_
VCC
VEE
�U1 �U2
��200pF
200рг
�--
V
-10
-
�
-
Рис. 5.30. Электрическая схема мультивибратора •BistaЫe
Multivibrator•
При.мер задания на расчет и результаты расчета приведены на
рис. 5.31.
341
Глава 5. Аналого-цифровое моделирование
--·�.,,.
-- ...... ·-
....,,.,.....
"ifi
1 ...
�... s-..
t
f
о
f
(,_, ]
t
Рис. 5.31. Пример задания на расчет пер-еходных процессов и
результаты расчета схемы мультивибратора •BistaЫe Multivibrator•
5.3.2.5. Спектральный анализ (Fourier Analysis)
Спектральный анализ (Fourier Analysis) проводится совместно с
анализом переходных проuессов. Расчет производится для послед­
него такта входных сигналов.
Для проведения спектрального анализа необходимо включить
опuию Fourier Analysis на вкладке Transient/Fourier диалогового
Alllly,es Solup
-
т,__..�s...,
р.,_
�
�-.is...., • - е.-, >pe,ot.,gf'ort�
0
д,..,.. ооо
н-�
ocs-�
� Snw,1 S°""'
Pde.?n�
т,....,r"""""�
1-,ь.,•S-
P-..-s-
tdoшUllloAt,o\,s,>
Gk.oelP.,-..,
дdv-od�
r..,,,..,,.S1a,1Tine
-·
т,_. S"'P r
,..
r,....,.,SiepTrne
о Ui,tnlio/C........
о l�И...StopJ..,.
о • u"1,...-1н1....
о IC... �[)IЧNJIOd
о Oofd Pen, Р• С,с!о
l'En.ы.r-
Fcu• F-• f......-с:,
!_0.-:.. Nurьe, d Howronct
( Plefer('"<ei
1
---- --
.
tl][RI
DID!
v...
10.ro,,
10 IXk,
IOIXk,
5
о
о
!".О
5000
�
10
1SelP•- 1
1 ок 11 � 1
Рис. 5.32. Задание параметров режима Fourier Analysis
342
5.3. Моделирование элентрических схем
окна Analyses Setup (рис. 5.32). Установка параметров спектраль­
ного анализа производится на этой же вкладке:
Fund. Frequency - частота первой гармоники;
Haпnonics - количество рассчитываемых гармоник, включая
нулевую.
Значение частоты первой гармоники (Fund. Frequency) выбира­
ется исходЯ из основной частоты (периода) анализируемого сиrnа­
ла. Так если период сиrnала равен 2 мс, то частота первой гармо­
ники выбирается равной 500 Гц. Если частота первой гармоники
выбрана меньше, чем основная частота сигнала, то при попытке
запустить моделирование нажатием на панель <<Run Analyses• бу­
дет выдано соответствующее сообшение об ошибке (рис. 5.33).
о
Гх)
[rror
�/18ОJМ(У � tDo io... (1/Fll"d,mertlll �) П1.11t Ье !мs tNn о,� toStop Tmi.
i <Х 1
Рис. 5.33. Ошибка при установке параметра Fund. Frequency
в процентах (см. пример)
Результаты спектрального анализа выводЯтся в виде спектра
сигнала и в текстовом виде в файле *.S1M, где указываются номер
(Harrnonic), частота (Frequency), амплитуда (Magnitude) и фаза
(Phase) для каждой отдельной гармоники, а также указывается
значение ко·эффициента нелинейных искажений THD в
Пример: спектральный анализ схемы генератора на триггере
Шмитта (... \A.ltium Designer\Examples\Circuit Simulation Schmitt
Trigger Oscillator) с выводом графика спектра сигнала в узле OUT.
Частота основной гармоники 500 Гц (Fund. Frequency =500), выбира­
ется исходя из периода сигнала в узле ОUТ, равного 2 .мс;
Количество рассчитываемых гармоник: Harmonics = 10.
5.3.2.6. Частотный анализ в режиме малых сигналов
(АС Small Signal Analysls)
Задание на частотный анализ (АС-анализ) устанавлива�ся на
ВКЛадКе АС SmaH Signal окна выбора режимов Analyses Setup.
В полях АС SmaJI Signal Analysis Setup (рис. 5.34) задаются общие
параметры для расчета частотных характеристик:
343
Глава 5. Аналого-цифровое моделирование
��,,....Olcllt,..r..,...
.....
-�lf?PC
11,-,.__....;.;J:13_, __ ...� • .,....,,_ ..._..,1
t:,.u��i Иtha.1ti Т'I Jос,н Der<1.Ueu.t
t'•tt::
!1' .at:• t) 111: 14:<11 U.'(18
1
7/DO
.....
rouua ..in1• tot �:
S.000
f
.....
,....
·-
....----·-..... .....
1
l
3,)100�t•Ol.S
о
••
s
IIJ)O
IJ}I:»,
0.(.C('(!Ot..«)O
5. 000001�:
l.OOOOfC.tll3
.t.!ot\OO[•DOЭ
2.O(IC)t('t.()03
:i...saoocz..oo,
,- ,1 1 1 '°"'! 1
ff�tii)
••·--
1.:ti�-+001
2.e1s,.u.-ca
1.,n,я-016
6,ООСШ-016
4..S1M2:•016
)ttO
------
IЬt.a, В.О
o.6c.IOJt.<tOOI o.DOOOat....ooo
•1,:>�..о,: 1.CIOOOCl:t.COO
•1, )!'J�ll,O.Эl: J.}611Ы.+ооо
l,14':ZJt+0;:12 ).03C)l.t 001
l,117Ш•001
.. '769"18!�
-).2:009«о-Щ1 J.�,na:r.-oo,
r,
�=:1:
na..: :11.ш ,, fiu4.t-iu: юо� IDtec,olaur,o k91:tt: 1
В.наrn.1,1; r1•QUCaitJ
2р)О
.....
·--· -·--·-
1о. �tno:uc,: 101
IOtll, J'h8i
··-··--f
:.19�t$l
2
! ....."[�
-t.4'I067tЦ:.OJ
-з.с•,н.t,1 1
.....
t,,.,._....,.,_:.,..... �,
........ic..
Рис. 5.34. РезультаmЬl спектраАьного анализа дАя схемы генератора
на триггере Шмитта в виде графика спектра сигнала в узле OUT и
тек стового файла
Star1 Frequency - начальная частота построения частотных ха­
рактеристик (значение частоты должно быть больше нуля);
Stop Freqt1ency - конечная частота построения частотных ха­
рактеристик;
Test Points - количество расчетных точек, определяющее шаг
изменения частоты.
В поле Swecp Туре выбирается тип измеt1сния частоты:
Linear - линейный, при этом количество расчетных точек, за­
данное параметром Test Points, распространяется на весь диапазон
изменения частоты;
Decade - логарифмический декадами, при этом количество
расчетных точек, заданное параметром Test Points, раслространя­
ется на декаду;
Octave - логарифмический октавами, при этом количество
расчетных точек, заданное параметром Test Points, распространя­
ется на октаву.
Тип изменения частоты выбирается из списка, который выпа­
дает при щелчке мышью в поле Swcep Туре.
Общее количество расчетных точек в диапазоне частот оцени­
вается программой и указывается на строке Tota\ Test Points.
Перед выполнением АС-анализа автоматически производится
расчет режима по постоянному току и линеаризация всех нели­
нейных компонентов. Источниками гармонического сигнала слу­
жат независимые источники напряжения или тока, в том числе и
постоянного, символы которых должны иметь атрибуты амплиту344
5.3. Моделирование электрических схем
A80'1-s s.tup
r"
Gcne,•Setц,
Ooc,°""IJP""'�
1E.-S
о
!:]
1,-�
ocs.....�..
,
дes.....iis,,..� s....,
Р•-
t/....,Arw!lj,ш
:J
PdoZ,,..AN!,,•
т.....,.. r....-�
Tto"l)Cl$1eS P•""'81orSWO<P
5111),
, __
TellPorta
1
IQrt.&v'e
(iJcЬoi P•.-nder1
М.onced0o6cni
-
11'1,/........... ,
-
1
1
'
1
о
Ис,,.с.,tо..,,.,..
\i,u
\(П]
Sюc,fi.......-<)I
ь.,
оо 1
lдCSmolSv><lдмJ-.
� .
f 5\мf-
:J
(1®
1 тou1r... Pon,
-
-
-~
l:rn
-·-J
1 ок 11 с....... )
Рис. 5.35. Задание параметров режима АС Small Signal Analysis
ды АС Magnitude со значением в вольтах и фазы АС Phase со зна­
чением в градусах. В схеме должен быть, по крайней �1ере, один
такой источник. Во время моделирования этот источник заменя­
ется генератором синусоидального сигнала с параметрами, задан­
ными в атрибутах АС Magnitude и АС Phasc. Частота этого сигнала
в процессе расчета меняется в заданных пределах. Для получения
амплитудно-частотных характеристик (АЧХ) относительно О дБ,
т. е. коэффициентов передачи по напряжению, нужно установить
параметр источника входного сигнала АС Magnitudc = 1.
Пример: частотный анализ схемы полосового фильтра (... \Altium
Designer\Examp/es\ Circuit Simulation\Bandpass Filler) (рис. 5.36) с вы­
водом амплитудно-частотной и фазово-частотной характеристик
сигнала в узле OUT в диапазоне частот от 1 Гц до 5КГц с дискрет­
ностью изменения частоты 100 точек на декаду.
Пример задания на расчет приведен на рис. 5.35, резулыпаты рас­
чета приведены на рис. 5.36.
После моделирования схемы в режиме АС-анализа результаты
вьmодятся на экран только в виде амплитудно-частотных характе­
ристик (АЧХ) для заданных схемных переменных. Чтобы отобра­
зить на экране соответствующие им фазово-частотные характери­
стики (ФЧХ), необходимо проделать ряд дополнительных опера­
ций для каждой схемной переменной:
1) Создать новую область для размещения графика ФЧХ коман­
дой «Plot>New Plot... » в главном меню Altium Designcr (рис. 5.37).
345
Глава 5. Аналого-цифровое моделирование
RЗ
С2
О 02uF
R1
VCC
VEE
с,
vcc
7
1!59К
DAt
OUT
Рис. 5.36. Электрическая схема nоАосового фиАьmра
«Bandpass Filter•
Рис. 5.37. Добавление нового графика
2) Пройти все 4 шага созnания новой области графика в окнах
Plot Wizard (рис. 5.38), введя nанные согласно рисунку и нажимая
кнопку Next и Finish (на завершающем этапе Plot Wizard Finish).
3) На третьем шаге нужно указать сигнал, который необхоnимо
поместить на вновь создаваемый график. Для этого по кнопке
346
5.3. Моделирование электрических схем
..... .......,,......,_7
'""""""""""""""'-'?
:�
_]
l!)�)(г..tt,l!)�Yбod'--
8--G,dt.,,p
0Ute5ddu,oo Qu"o-i.,.,
1
,,..,. ��
Add
�1:в::J�
....,.°""' 1о-. � dal
G:J
]
i,
\.. t
���
о ав.ление нового гра ика
Рис.
-
-
------ - -
[lliJ
Acld \ЧАv• То Plcl .
,..,_
, w-seчi
�1
c:2',Pf
.,
,�
1
�·-
F_,
1
с1(,)
c1!PJ
--
/
UIOIR'l'I)
n1
о�
•11)
11(1>)
1:i1,J
li QИq«,.deldЗJ
QRNI
'4,)
э�rо-.;,
Phмe RodJ
r2\PI
OIINIII""!'
y\at,,onct,
v1Jpl
v!W
Ею.....,
N.ne
lou
1
�
0
l
OG,cц,Ddl!I
1
1 □�1o-v-
1
-
1 с,..,. 1[ Cra/ 1
Рис. 5.39. Добавление графика ФЧХ
Add - добавить график в область вывода (рис. 5.39), в поле
Waveforms щелкнуrь левой клавишей мыши на имени требуемой
347
Глава 5. Аналого-цифровое моделирование
переменной (Out) для появления этого имени в поле Expression. За­
тем в поле Complex Functions выбрать опцию Phase (Deg) - фаза в
градусах, и нажать панель Create - создать. В результате этих дей­
ствий ниже основного графика АЧХ будет добавлен график ФЧХ.
5.3.2.7. Анализ схем при изменяющемся постоянном
напряжении
Режим анализа схем при изменяющемся постоянном напряже­
нии (DC Sweep Analysis) во многом аналогичен режиму расче та
рабочих точек схемы по постоянному току (Operating Point
Analysis) при вариации одного или двух источников постоянного
напряжения или тока. В процессе анализа производится последо­
вательный расчет серии рабочих точек при изменении значения
напряжения выбранного источника постоянного напряжения
(Primary) с заранее заданным шагом, и строится соответствующая
характеристика. Кроме того, имеется возможность определить
второй (Secondary) изменяемый источник постоянного напряже­
ния. В этом случае строится семейство характеристик.
Режим ОС Sweep Analysis включается соответствующей опuией
в поле Analyses/Options окна Analyses Setup). Задание параметров
для проведения анализа устанавливается в поле DC Sweep Analysis
Setup окна Analyses Setup (рис. 5.40).
ANlysмl()p,ons
G.,.,.,a/S,tц>
Ope,a/ing Pon �is
T,lmierol�
I Enablod
дCSmalS91"1�
0
�о�
О
/jc,ise�
T,om/., F..-.:Ьт�
Т eщ,e,otue Swoep
P,,omet,,,Sweep
Mort8C..lo�
G1оЬа/ Peiamelei•
Advo,ced Op6ont
1 Preleienc:e.i
0
0
о
0
0
0
0
Prmo,y Scuce
OCSweep�Sщ
P•amele,
Prmo,yStOII
P!IМ}IStc,p
Plinooy Step
V,и,
V1
000)
10.00
100)
Е....Ы.S�
Seconda,y Nomo
Secondo,y Steit
Seconda,y Stc,p
Seco'ldOly S�
�
V2
5.(Ц)
2000
5.00)
ОК
1( Cancel J
Рис. 5.40. Задание параметров режима DC Sweep Analgsis
348
5.3. Моделирование электрических схем
Пример: для схе,иы усилителя с общей базой (. .. \,4/tium Designer\
Examples\Circuit Simulation\Common-Base Amplijier) (рис. 5.41) рас­
считать зависимость иапряжеиия на коллекторе траюистора VT/
(узел С) от напряжений источников U1 и U2.
Диапазо11 изменения напряжения истоцнuка U 1 от ОВ до -JOB с
шаго.м JB. Диапазон изменения напряжения источника U2 от 5В до
·20В с шагом 5В.
с выводо.м амплитудно-частотиой и фазово-частотной характе­
ристик сигнала в узле OUT в диапазоне частот от 1 Гц до 5КГц с
дискретностью изменения частоты 100 точек на декаду.
Пример задания на расчет приведен на рис. 5.40, результаты рас­
чета приведены на рис. 5.41.
--
ai-----1■---•...,·I
,,,.
"'""' ,11/JD
15Р) _
12$ -
f
10JJ) :
7:,Х,
:
�.ООО
2/;UJ
О.ООО
.,,..o,m
-- --, -'"-- 1
-
�
---
---......
,.,.,.
"'М
\0,-rv- 1··--- lOC s-,
-
�
----
;--...
--.:
---.___
-.J
.,,,,,
..
6.000
с
�1
с, l 1uF
IN
Е
1
-
�-L.....
физ
tl<hz
vт, с
�L
Rf
411(
.. .,�,
UI
-==-
1
+1
С2 \ �
tuF
R2
4
,к
RЗ
,ок
2
U
1::?V
Y
1!
Рис. 5.4 J. Результаты расчета зависимости напряжения на
коллекторе транзистора VTI (узел С) от напряжений источников
UJ и U2.
5.3.2.8. Расчет спектральной плотности внутреннего шума
(Noise AnalysisJ
Расчет спектральной плотности внутреннего шума (Noise
Analysis) позволяет получать шумовые характеристики схемы пу­
тем определения внутренних шумов резисторов и полупроводни­
ковых устройств. Программа моделирования строит график спек­
тральной плотности внутреннего шума, на котором шум измеряет­
ся в В2/Гц. Конденсаторы и катушки индуктивности с•нпаются
идеальными, не вносящими дшюлнительных шумов в схему. Про349
Глава 5. Аналого-цифровое моделиров ание
грамма молелирова1-1ия позволяет проводить следующие расчеты
шумовых характеристик:
Выходной шум - позволяет определить уровень шума на выхо­
схемы
де
.
В ходной шум - определяет уровень входного шума, являюще.
гося причиной расс'!итанного уровня шума на выходе схемы.
Например, если выходной шум равен l0p В2/Гu (l0*l0e-12
В2/Гu) и схема имеет коэффициент усиления 10, это означает, что
шум на входе схемы должен быть равен lp В2/Гu (1 *l0e-12
В2/Гц). TalGlм образом, эквивалентный входной шум будет равен
1Ое-12 В2/Гц.
Шумовая характеристика компонентов - позволяет определить
шум, которьтй внос11:т каждый компонент в выходной шум.
Общий вьтходной шум равен сумме шумов резисторов и полу­
проводниковых устройств. Каждый из компонентов добавляет оп­
ределенное количество шума, которое умножается на коэффици­
ент усиления, определяемое от позиции компонента до выхода
схемы. Таким образом, один и тот же компонент может давать
различные IUумовые добавки к выходному шуму, в зависимости от
его места расположения в схеме.
Результаты анализа шумов отображаются в окне просмотра ре­
зуль татов моделироnания. Графики спектраль ной плотности вы­
ходного и входного шума помечаются соответственно NO (Noise
Output) и Nl (Noise Input).
Режим Noise An alysis включается соответствующей опцией в
поле Analyses/Optio11s окна Analyses Setup. Задание параметров для
проведения анализа устанавливается в поле Noise Analysis окна
Analyses Setup (рис. 5.42).
Для ана л11за спеJсrраль ной плотности внутреннего шума зада­
ются следующие параметры:
Noise Source - имя входного (опорного) источника сигнал а,
которое выбирается из списка доступных источников в поле Noise
Source;
Start Frequency - начальная 'частота анализа (значение частоты
должно быть больше нуля);
Stop Frequency - конечная частота анализа;
Тest Point s - КОJ:Iичес тво расчетных точек, определяющее шаг
изменения частоты. Значение параметра Points Per Summary долж­
но быть равным О. Общее количество расчетных точек в диапазоне
-:ar:::n
5.3. Моделирование электричесних схем
-- 1lli]
A.D,llnes_xtu_p_--__
-_--_---_--_--_
_
--. -_-_-_ ----�
�
-
i;-.s....,
,-�
DCS-�
--ltNЬoodl с-
Qi...-.gl'lw,.,,,.,,.
N:S,,...S9\11�
1�=иа
�Zt,oдne!,w
Tr..-.letf"1dlonдn.pt
r..__s_
p,._s_
11.-с..ь�
GloЬo/P•-••
м.--�°""""'
-'"'""'-
-1
о
о
о
о
'�
о
о
о
о
о
N-Мo\,wS- Р•-
v....
�s...ce
Sllllf,_
1 000
1 СОО<
tr,o.,
100)
S�fS-111'8
lntl'l>m
Pcr<sP•SOщuhode
OUT
R,f"onc, Node
to1,Ho,1Pono
о
------ок
(1000
1
11
..._-
c-.i
1
Рис. 5.42. Задание параметров режима No1se Analys1s
частот оценивается программой и указывается на строке Total Test
Points.
Output Node - имя выходного узла для определения уровня
шума, которое выбирается из списка доступных имен;
Reference Node - имя узла, относительно которого опреде­
ляются напряжения шумов (обычно узел О, т. е. <<земля»). Это
имя выбирается также из списка доступных имен, выпадающего
списка.
В поле Sweep Туре выбирается тип изменения частоты:
Linear - линейный, при этом количество расчетных точек, за­
данное параметром Test Points, распространяется на весь диапазон
изменения частоты;
Decade - логарифмический декадами, при этом количество
расчетных точек, заданн� параметром Test Points, распространя­
ется на декаду;
Octave - · логарифмический октавами, при этом количество
расчетных точек, заданное параметром Test Points, распространя­
ется на октаву.
Пример: для схемы усилителя с общей базой (рис. 5.41) определить
спектральную плотность шyft1a на вьаоде OUT и выходе IN (источник UЗ) в диапазоне частот 1 Гц ... JКГц.
Пример задания на расчет приведен на рис. 5.42, результаты рас­
чета приведены на рис. 5.43.
351
Глава 5. Аналого-цифровое моделирование
���--- ■ �мt>lliorsdf•\
25DA>.
11
1•
·-��·
f
�
-
N(«.I)
:125А>:
200�
175�
150�
125� IOOA,
75!Xlp
50.(Q>
25.Щ> ••
о�
о.((О
�
"
'
0,3000
' '"
"
0,б(Оr
,,_ (НJ)
0,9()(1<
•,ООО,
NO(cu)
3,500t
3,000!
r
i
2,500f
2,000!
1,500!
1,ooot
\
\
0,500f
0,000! :i,
O,ODOI,
I
"
"'
о,эоо,
"
0.Б(n
fr.....,-cy(Нz)
"'
о.-
Ope<a1<>9Powt Т.......,.. м.,ij,.J, !ОС s......, 1N- S,-t, .. О°""'/ Tw..t 1.,,..1 С1иi
Рис. 5.13. Результаты расчета спектральной плотности шума на
выходе OUT и входе IN в диапазоне частот 1 Гц ... 1 КГц
5.3.2.9. Анализ передаточных функций
(Transfer Functlon AnalysisJ
В процессе анализа передаточных функций (Transfer Function)
происходит вычисление коэффициента усиления по постоянному
току в режиме малых сигналов для выбранных схемных перемен­
ных (напряжений n узлах цепей) относительно конкретного источ­
ника входного сигнала (опорноrо источника сигнала), а также
входного сопротивления по постоянному току для опорного источ­
ника сигнала и выходноrо сопротивления по постоянному току дл я
заданных узлов цепей.
Результаты расчета отображаются в текстовом виде лля каждого, выбранного в качестве схемной переменной, узла схемы.
Для передаточной функuии (коэффиuиента передачи):
TF_V(nnn)/Vsss ууу : Transfer Function for V(nnn)/Vsss
Для входного сопротиnления:
IN(nnn)_Vsss ууу : Jnput rcsistance at Vsss
Для выходного солротивлнения:
OUT_V(nnn) ууу : Output resistance at nnn
rде: nnn - имя узла, напряжение в котором выбрано в качестве
схемной переменной;
,.,..,,
5.3. Моделирование электриче:-.;"uА .,,..,,.,
sss - имя опорного источника входного сигнала;
ууу - значение коэффициента передачи, входного или выход­
ного сопротивления.
Например, для узла OUTPUT и источника входного сигнала
U 1, выбранного в качестве onopнoro:
TF_V(OUТPUT)/VUI -9.999: Transfer Function for
V(OUTPUT)/VU 1
IN(OUTPUТ)_VUI 10.00k: Input resistance at VUI
OUT_V(OUTPUT) 6.488m: Output resistance at OUTPUT
При выводе значений коэффиuиента передачи, входного или
выходного сопротивления используются множители, приведен­
ные в таблице 1 в начале раздела 5. Так запись 6.488m в приве­
денном примере означает значение выходного сопротивления
6.488 · I0e-3 Ом.
Режим Transfer Function Analysis включается соответствующей
опцией в поле Analyses/Options окна Analyses Setup. Задание пара­
метров для проведения анализа устанавливается в поле Transfer
Function Analysis Setup окна Analyses Setup (рис. 5.44).
.
i;...... so1ц1
0Р«РО Porl �
r,-�
осs.._щ...
·-�
М:. Smol S9Wдn.,\ni,
-�
PdeZo,oд,,,1;14
о
о
о
о
о
So.ltellome
A,le,,nce,lode
-
\11
>-·-·-----------t
О
Tч,n,Jo,f.-,�
т..._o1.-es­
P•eirnetss�
мо,,1,с..»м.1,...
GaЬd!P•m8cn
J
Ot.
11
С-' /
Рис. 5.44. Задание параметров режима Transfer Function
В поле Transfer Function Analysis Setup задаются следующие па­
раметры:
Source Name - имя входного (опорного) источника сигнала, ко­
торое выбирается из выпадающего списка доступных источников;
Reference Node - имя узла, относительно которого определя­
ются все напряжения (обычно узел О, т. е. «земля�). Это имя вы­
бирается также из выпадающего списка доступных имен узлов.
12 зак. 32
353
.......
е
Глава 5. Аналого-цифровое модлирование
Пример: для схемы аналогового усилителя (. .. \Altiu,n
Designer\Examples \Circuit Simulation \Analog Amplifier) (рис. 5.45) про­
вести анализ передаточной функции для узла OUTPUT и ист очника
входного сигнала Ul.
Пример задания на расчет приведен на рис. 5.44, результаты рас­
чета приведены на рис. 5. 45.
R2
1t.D.�r�81VI
15... о..,,�•ММ'
tal,SW l11n1WfVclontr;ir',1QЛF\Л)НI
12V
ОUТРUТ]
R3
2f>К
1
Рис. 5.45. Результаты расчета в режиме анализа передаточных
функций (Trans/er Function Analysis) для схемы аналогового
ycUAumeля
5.3.2.10. Режим изменения температуры
(Temperature Sweep)
Этот режим может использоваться в сочетании с частотным
анализом, расчетом рабочих точек, а также при анализе переход­
ных працессов. Схема анализируется в заданном диапазоне темпе­
ратур, при этом для каждого значения температуры строится свой
график. Графики помечаются следующим образом:
nnn_tm
где: nnn - _имя схемной переменной;
m - порядковый номер значения температуры.
Например, для схемной переменной OUT и трех значений тем­
пературы -50 ·с, О ·с и +50 ·с графики результатов моделирова­
ния обозначаются соответственно:
OUT_tl, OUT_t2 и OUT_tЗ.
354
5.3. Моделирование электрических схем
Режим Tempeгc1ture Sweep включается соответствующей опцией
в поле Analyses/Options окна Analyses Setup. Задание параметров
мя проведения анализа устанавливается в поле Temperature Sweep
Setup окна Analyses Setup (рис. 5.47 в).
В поле Temperature Sweep Setup задаются параметры температурного диапазона в градусах С:
Start Temperature - нижний предел изменения температуры;
Stop Temperature - верхний предел изменения температуры;
Step Temperature - шаг изменения температуры.
и
96иН
RЗ
И2
10V
з
с
1ВК
00tuF
с
С2
-
�
OUT
...l.Cf
RS
ООЗиF
R2
т
ззк
15uF
10К
Рис. 5.46. ЭАектрическая схема nоАосового усилителя
Пример:
для
схемы
полосового
усилителя
(... \Altium
Designer\Examples\Circuit Simulation \Bandpass AmplifierJ (рис. 5.46)
при значениях температуры -50
и + 50
провести расчет напря­
жения узла OUT в интервале времени 0... 30 мкс (Transient Analysis),
расчет АЧХ и ФЧХ сигнала в узле OUT в диапазоне частот 1 Гц ...
ЗООКГц (АС-анализ).
При.мер задания на расчет приведен на рис. 5.47, результаты рас­
чета приведены на рис. 5.48.
•с
12·
·•с
355
Глава 5. Аналого-цифровое моделирование
IЕ,Щ
о
DC S..reep дму...
Af:.SrмtSi!,wl�ш
Noes,e�
Pa..-Zero �•
T1ilt\Sler FU'\Clюnдмlysis
Т l!fl1)el4111eSweep
P.irмieter Sweep
Могtе uмlo Aмlysit
G� P.iremeters
Adv"'1Ced ОрlюМ
-
�
0
�
0
О
О
�
О
0
----------
T,��Setц,
Vм,е
P.irlmeler
1
Q(ХК)
Т rensienlSt..t Т me
Т 1.ir,sient Stop Time
эo.in,
125.D-i
Т rмsienlStep Т me
125.D-i
т ramienl м� Step тine
u,e 11\itwCoюoons
о
о
Use T1<!11Sient Delds
Deld Cyc:les D�
Deld Poinls Per C)'do
5
50
El'lllbleFouiet
Fouia- F�41 Frequency
Fouiet N.....Ь. о/ H<!llmona
160.а..
10
о
:,
1 Set,0,eJds]
[Pre!erencea...
j
�pt,ons
GenerlJISetup
Operot,,g РооtАм/уш
Т raniienl ANlytis
DCSweep�s
Nме�
Pole'Zero A,w,lyш
TrN>SferFLIIClx>n�
ок
Enllbled
о
�
о
eJ
0
О
О
Smel Si!,wl �Setup
-·- .f<!=P111omete1
1 V-..e
Start FrЩJCmC!I
Stop FteqJeney
SweepTwe
Т est Poinla
.
1.00)
mD<
1
1
1..-100
_!_eщlCfol� Selц) _
Aмly_,-'Qptian,
Gener!IISetЦ)
Op,,rairtg Point �
T,aiuienl.Aмlyш
DCSweep�
АСSnwil Si!jnal �
N oise дnаЬ,т
Pde-2ero дмlysis
Transler FcnctionдnaЬ,iis
\l Смсе1 ]
0
eJ
0
eJ
0
О
О
eJ
P111.irneter
Stop т eщ,erawre
Vu
50.00
100Д
Рис. 5.47. Задание параметров режимов Transient Analysis (а)
АС-анализ (6) и Temperature Sweep (в) для схемы nоАосового
уси.лителя
358
5.3. Моделирование электрических схем
=
ео.оо
100,0
90.00
'1
70.00 ё
�
бО,00
50,00
.0,00
ЗО,00
20.00
,о,оо
;;
:
=
§
== -
0,000
0.l)(Q
100,0
50,00
0,000
-50,00
е
-100.0
--
-250.D
\
)
�
......
100,D,
r,_(НI)
=
=
200,Qlt
'JOOP,
�
1\
\
-150,0 �
-200.0
CUJ1
O<.tJ2
=
::
-300,0
O,DOOII
'-..
100,0k
Frecµ,r,cy (НI)
NJ.O,.
300,0k
Md. Ltм>I 0..,
--"
ooJ_t2
-150,0,,, ......��'-+���-��..._.,......��-���+-'��.....
О.ООО,
10.00J
15,00J
Tme(a)
Рис. .
езу.11ьтаты расчета напряжения узла
и
сигнала в узле OUT для схемы полосового усилителя при значениях
температуры -50 ·с и +50 ·с (tl и t2)
357
Глава 5. Аналого-цифровое моделирование
5.3.2.11. Режим изменения параметров элементов
(Parameter Sweep)
Режим Parameter Sweep позволяет производить автоматическое
изменение параметров одноrо или двух элементов схемы в задан­
ном диапазоне с определенным шаrом. Этот режим может исполь­
зоваться в сочетании с частотным анализом, расчетом рабочих то­
чек, а также при анализе переходных проuессов.
В режиме Parameter Sweep можно изменять параметры только
базовых компонентов схемы. Параметры подсхем изменены быть
немоуУГ.
Если задан второй изменяемый параметр, изменение основного
(Primary) параметра производится лля каждоrо значения второrо
(Secondary) параметра.
Для каждого значения параметра строится свой график. Графики помечаются следующим образом:
nnn_pm
где nnn - имя схемной переменной;
m - порядковый номер набора значений параметра (парамет­
ров).
Например, лля схемной переменной OUT, трех значений ос­
новноrо параметра Pl, Р2, Р3 и двух значений второrо параметра
S 1, S2, будет построено 6 графиков результатов моделирования в
следующей последовательности изменения параметров: Рl S1, Р2
S1, РЗ Sl, PI S2, Р2 S2, РЗ S2. Графики будут обозначаться соот­
ветственно:
OUT_pl, OUT_p2, ОUТ_рЗ, OUT_p4, OUT_p5, OUT_p6.
Режим Parameter Sweep включается соответствующей опuией в
поле Analyses/Options окна Analyses Setup. Задание параметров для
проведения анализа устанавливается в поле Parameter Sweep Setup
окна Ana\yses Setup (рис. 5.49).
В поле Parameter Sweep Setup задаются следующие параметры:
Primary (Secondary) Sweep VariaЫe - имя изменяемого пара­
метра (обозначение компонента), которое выбирается из выпа­
дающего списка доступных имен. Примеры обозначения парамет­
ров в списке:
Rl - сопротивление резистора Rl;
Cl - емкость конденсатора CI;
QVТI[BF] - коэффиuиент передачи транзистора VТI;
Vl - напряжение источника VI;
358
5.3. Моделирование электрических схем
Р•
Enablod=c:..�
=-:-= S-5-'------' ..:c
_,, .,.___;_
'--'-'-'"-="'-ptow
l'-G__.Setц,
P11ono1"
flpe1щPonAr..ь,.il
t,onuort�
ocs--,,�
ACSmolSIIJ"II�
Noue�
p.,.z-�..,
т ,....,., f.n:t,on AN&w
t.._e1ueS,-p
Р«-•5-
Mcnoc..fo�
GloЬolP..-..,
Adv«>CedOptians
О
�
о
О
О
0
о
0
�
0
�s-v....ы.
P,maoySl<!J\Vu
Pom,oySlcpV-
Pimo,ys1..,v•
PICNf.YS-T.к,e
1
__J
V�
R4(,..,.._I
5000
snoo
�00
�Vol.
Sec;ond.,oySweopV,.�V2\do)
Sec:ond..yStlftV5.000
1500
Sec,,ndo,)IStopVSec:oncloov Slel> VN
IQOO
.1>Ь,с,UеV 111.
or. 11
c.nc,1
1
Рис. 5.49. Задание параметров режима Parameter Sweep дАя двух
изменяемых параметров
Start Value - нижний предел изменения значения параметра;
Stop Value - верхний предел изменения значения параметра;
Step Value - шаr изменения значения параметра.
При необходимости определения второго изменяемого пара­
метра, данные для него задаются аналогично в поле Secondary, оп­
ция котороrо должна быть включена.
Если включить опцию Sweep Туре Relative Value, то значения,
задаваемые в полях Start Value и Stop Value, будут являться не аб­
солютными значениями параметра, а его приращениями относи­
тельно номинального значения.
Если помимо режима Parameter Sweep в задании проводится
вариация температуры и (или) статистический анализ, то все ре­
жимы варьируют только «свои» параметры при номинальных зна­
чениях остальных параметров (например, при вариации темпера­
туры параметры компонентов принимают свои номинальные зна­
чения, а вариация параметров компонентов выполняется при
номинальной температуре).
Пример: для схемы полосового усилителя, рассмотренной в преды­
дущем примере (рис. 5.46) провести расчет напряжения узла ОUТ в
интервале времени 0...30 мкс (Transient Analysis), при изменении со359
Глава 5. Аналого-цифровав моделирование
противления резистора R4 в диапазоне 5 Ом... 80 Ом с шагом 25 Ом
а зате.м учесть изменение напряжения источника питания V2 в диа�
пазоне 5В... 15В с июгом JOB.
Пример задания на расчет приведен на рис. 5.49, результаты рас­
чета приведены на рис. 5.50.
150.1>,
"".Р1
cd,J)'
, ......
Ы_;А
,,......,
�
оюоо,.
_,,.....,
.,,.,,,..,
..75U,0..
о-.
.......
!
IOJX).,
15,tu,
Tme(aJ
IJ!JJ),
l..J �· ,
,SJ»;
:,о.о,;
........,.,
�,
......
1--+---+---+--Нс-+--1-� ...�
.....,,.
.......
-1-4-_,___ ,......,._,.___.,__,
"'J>1
..,_,,.
412.,� ,___,,____.___
f
2',00.
Stll»J
10_Dl;l;
IS,ta,
1".re(IS)
20.,IXJu
2SJn.,
_____
30,IOJ
...... L....... Ot•
Рис. 5.50. Результаты расчета напряжения узАа OUT с учетом
влияния сопротивления резистора R4 (а), с учетом вАияния
сопротивления резистора R4 и напряжения исто.чника питания U2
(6) д.tя схемы полосового усилителя
5.3. Моделирование электрических схем
5.3.2. 12. Статистический анализ по методу Монте-Карло
(Monte Carlo Analysis)
Режим статистического анализа (Monte Carlo Analysis) может
использоваться в _сочетании с частотным анализом, расчетом рабо­
чих точек, а также при анализе переходных проuессов. При стати­
стическом анализе происходит многократный запуск проuесса мо­
делирования (стат11стичсские испытания), при этом параметры
элементов принимают случайные значения Х в заданных пределах
вблизи номинального значения Хном
Х = Хном · (1 + f · D)
где f. - центрированная случайная величина (-1 ... 1 );
D - относительный разброс параметра.
Режим Monte Carlo Analysis включается соответствующей опuи­
ей в поле Analyses/Options окна Analyses Setup.
Результаты расчета могут быть получены только для тех узлов,
имена которых помещены в список Active Signals диалогового
окна Ana\yses Setup. При статистическом анализе строится семей­
ство характеристик, полученных на каждом статистическом испы­
тании при случайном изменении параметров элементов схемы в
заданных пределах. Каждый график семейства помечается следую­
щим образом:
nnn_mk
где nnn - имя схемной переменной;
- порядковый номер статистического испытания.
Например, для схемной переменной OUT, при количестве ис­
пытаний 10, будет построено семейство из 10 графиков результа­
тов моделирования. Графики будуr обозначаться соответственно:
OUT_m0I, OUT_m02, OUT_m0З, ... OUT_mlO.
При рассмотрении порядка задания параметров для проведения
статистического анализа будуr использованы следующие термины
и определения:
Speed - начальное значение датчика случайных чисел f.. При
анализе методом Монте-Карло для генерации случайных значений
параметров элементов необходимо задать некоторое начальное
число. По умолчанию это число задается равным -1. При этом при
каждом запуске статистического анализа последовательность слу­
чайных чисел, а, следовательно, и случайное распределение раз361
Глава 5. Аналого-цифровое моделирование
бросов параметров элементов, будут одни и те же. Для реализац ии
различных случайных последовательностей при каждом запуске
статистического анализа, это число необходимо изменять (для по­
лучения стандартных видов законов распределения, рекомендует­
ся задавать нечетные числа в диапазоне l ...32 767).
Distribution - вид закона распределения случайной величины f.,
используемой для генерац ии случайных разбросов параметров
элементов. Имеется возможность выбора одного из трех видов
распределения:
• Uniform Distribution - равновероятное распределение случай­
ной величины f. на отрезке (-1, 1). При этом значение пара­
метра элемента равномерно распределяется в заданном диа­
пазоне. {Например, для резистора с номиналом 1 КОм и допус­
ком ±10% его сопротивление будет равновероятно изменяться
в диапазоне 0.9 КОм ... 1.1 Ком}.
• Gaussian Distribution - нормальное распределение случайной
величины f. на отрезке (-1, 1) с нулевым средним значением
и среднеквадратическим отклонением cr = 0.333, т. е. усечен­
ное по уровню± 3cr.
• Worst Case Distribution - худший случай. Этот тип распреде­
ления похож на равновероятное распределение, только слу­
чайным образом генерируются крайние т.очки заданных гра­
ниц разброса параметра элемента. {Например, для резистора
с номиналом 1 КОм и допуском± 10 % будут произвольно гене­
рироваться значения из двух наихудших вариантов - 0.9 КОм
и 1.1 Ком}
NumЬer of Runs - количество статистических испытаний. На
каждом испытании производится расчет схемы во всех заданных
режимах при различных значениях параметров элементов из за­
данного диапазона.
To1erance - допуск на случайное изменение параметра элемен­
та. Допуск может задаваться как абсолютным, так и относитель­
ным (в проuентах от номинала) значением. Например, если до­
пуск резистора с номинальным значением lКОм задан как 10
(т. е. 10 Ом), то его сопротивление будет случайно изменяться в
диапазоне 0.99 КОм ... 1.0 lКОм. При определении допуска этого
резистора как 10%, его сопротивление будет случайно изменяться
в диапазоне 0.9 КОм ... 1.1 КОм.
Значения параметров каждого элемента изменяются независи­
мо от остальных.
362
5.3. Моделирование электрических схем
Например, если в схеме есть два резистора сопротивлением
JКОм, а допуск на резисторы установлен равным 10%, то на ка­
ком то конкретном статистическом испытании один из резисторов
:-.южет получить значение 953 Ом, а другой 1022 Ом.
Программа моделирования использует независимые генераторы
случайных чисел для получения значений параметров каждого от­
дельного элемента схемы.
Default Tolerance - допуск на случайное изменение параметра
элемента, заданный по умолчанию. Имеется возможность задания
nопусков по умолчанию для параметров шести основных катего­
рий элементов:
Default Resistor Tolerance - сопротивлен ие резистора;
Default Capacitor Tolerance - емкость конденсатора;
Default Inductor Tolerance - индуктивность катушки инлуктив­
ности;
Default Transistor Tolerance - коэффициент передачи по току
транзистора;
Default DC Source Tolerance - напряжение источника постоян­
ного напряжения;
Default Digital Тр Tolerance......:. задержка распространения сигна­
ла цифрового устройства.
Specific Tolerance - специфический допуск на случайное изме­
нение параметра элемента. Существует возможность переопреде­
ления для конкретных элементов значений допусков, заданных по
умолчанию (Default Tolerance). Для задания специфических допус­
ков на отдельные элементы используются следующие поля и груп­
пы полей:
Designator - позиционное обозначение элемента в схеме.
Parameter - имя изменяемого параметра элемента, задается в
том случае, если изменяется параметр, отличный от задаваемого
по умолчанию (см. выше), например для транзистора не коэффи­
циент передачи по току (bf), а емкость коллекторного перехода
(cjc). Имеется возможность задавать специфические допуски для
элементов, не входящих в шесть основных категорий элементов,
допуски для котор ых задаются по умолчанию. Для этих элементов
необходимо указывать имя изменяемого параметра.
Например, при задании диода нужно указать один из ero пара­
метров, изменен ие значения которого влияет на рабо,у схемы, на­
пример сопротивление перехода в прямом включении (rs).
363
Глава 5. Аналого-цифровое моделирование
Конкретно имена изменяемых параметров можно взять из фай­
ла описания модели (.MDL) для соответствующего элемента.
Группа полей Device - установка допусков на параметры от­
дельных элементов (некоррелированные составляющие допуска).
Группа полей wt - установка допусков на параметры труппы
элементов (коррелированные составляющие допуска). Программа
моделирования рассчитывает обе составляющие допуска незави­
симо (используя различные случайные числа), а затем объединяет
их вместе. Объединение допусков отдельных элементов и групп
элементов бывает полезным в случае, когда значения допусков не
. совсем коррелированны, но в то же время не являются и полно­
стью независимыми.
Например, для сборки резисторов определен допуск ± 5%. Этот
допуск включает одинаковый групповой коррелированный допуск
на все резисторы сборки(± 4%) и независимый допуск для каждо­
го резистора(± 1%).
Для каждой группы полей Device и Lot задаются параметры:
Tolerance - относительный допуск (в процентах) на случайное
изменение параметра элемента;
Tracking No. - номер последовательности случайных чисел,
положительное число. Присвоение одинакового значения Tracking
No. нескольким элементам производится в случае, когда необхо­
димо коррелированное изменение их параметров. Если необходи­
ма полная корреляция разброса параметров нескольких элемен­
тов, то для них необходимо выбрать и одинаковые законы распределения.
Distribution - вид закона распределения случайной величины f..
Пример: статистический анализ аналоговой схемы пикового i)е­
тектора (рис. 5.25) с выводом графиков напряжений на выходе схемы
(узел РЕАК) и на выходе 6 микросхемы DAJ (узел TRACJNG).
Количество статистических испытаний - 10.
Вид закона распределения случайных величии по умолчанию - рав­
новероятный (Uniform).
Границы разброса параметров всех элементов схемы по умолчанию - ±10%.
Специфические допуски на элементы схемы:
емкость конденсатора С5 - ±5%, Gaussian;
сопротивление резистора R8 - ±5%, Gaussian;
коэффициент передачи транзистора VТJ(Ql) - ±50%, Uniform.
364
5.3. Моделирование этнтричесник схем
___
,__
DC-­
-.... ......
,,__
--&.w•S-.0
""'s,,..i ......
,�....
,,.,......s
PdtZ-..,,....
.,.,....с.�
�р•......,.
СЕ]�
ии анаАuа аhtlАоговой схемы
.
пикового детектора: задание допусков на SАе.11ен11ы по умолчанию
(а); задание специфических допус1tов(б)
Пример задания на расчет приведен на рис. 5.5 ]. Результаты рас_ ·
чета приведены на рис. 5.52.
..
1Gl-"""""":;ctdoc)lf3 .... ""°""'"""llfaP,,.llot,ctcrmJ
.
-- - ·---
.. . ·- ..... ·- ·- -·---1
....�
2,200
2,000
-_JtfJ2
-_JIIOЗ
••_,ю.t
1150
_..,..,.
1,500
�
1.000
-
,.,._,r1J1
-..,.0,S
•""
0.750
1
0,500
0.250
О/»)
re•_m10
.-1
._,
�.ж,
.
---
-..,.0,S
1)50
100,l)u
200,<>,
300,Du
Trne(s)
400,l)u
2,250
1
2.000
1,750
1,500
i �
►
•°""IJ'QI
0,750
О.ООО
t
l
._,
�,250 1;
..........,,.,.
О-.,,о2
1-.-chvJ_rJ{lЗ
Ooctrg_.,,.
1.000
0,250
1
·�------ 1'
fr.ctng_ir.O,
....,._,,.,. 1
1,250
0,500
1
.,,..,
'"
,а,...,
100.1>,
Dpe,..... PonА f;;.;;_;,,·� /
200,...
'110.1>,
Tltle(S)
400,Du
'
'
1
hc:Ь,g_m10
6'Cl,l)u
!00,1>,
1
1
1
1
1
Md�"'Cl 1 0eer,
Рис. 5.52. Результаты статистического aнll.C//aa ансцоговой схемы
пикового детектора дАя узлов РЕАК и 1RACJNG
365
Глава 5. Аналого.цифровое моделирование
5.3.З. Отображение результатов моделирования
Программа моделирования отображает результаты моделирова­
ния в многостраничном окне просмотра результатов (рис. 5.53),
которое позволяет леn<о и быстро производить визуальный анализ
полученных данных.
� --------1
• .,. �
i,o
..-
-
о,.,,.. ,...,...,_.,.
1'""
,- --
li.l :,Hlj� �1��"'-111 -..�/• (�•..:' J;tgz,
,'i
_._....,.
.,.,
Ъ1
......,
,..,"'3
,,-
._.
..__
. ,_
1
...-i.s... � .. ,.,,
t
у
- .
.. _�
�
"
-· .... • ·1
.... ,_
.......
..
о
10 "
...
1
..
"'
�
.,...,_.._
1
.,
.,
1
f.at-
..
,_"-
......... �
с
. У
Q�er-,-,-.,.sas,c,,.мJ•�e,wy�!М ...I
.,
<41
lh�,:...;..
,1_....,.._,
1
1
1
11
1
. "'""'
1·
1 1 1
1
1
1
, 1
,...,..
1
'"""
1
�J
. 1f
1 ,..,,
"""
,...,v
1
-·
$00У
,,,.,
,..,.
1
1
i'
ОМ'У
�,ОООУ
,..,v
�-"""
�
-"""
1
.1
--с.,.-�
oooov
1!
�""'"
1
•-v
�,DOOY
,.,,.
11
;[
._._,1.::;;.ra..:·r
�· i"°'- N'"Dм'
Рис. 5.53. Uк.но просмотра резуАьmатов модеАuрования
Результаты каждого типа анализа отображаются на отдельной
вкладке этого окна. Окно просмотра результатов моделирования
функционирует аналогично обычному осциллографу. Команды
масштабирования, расположенные на панели управления, позво­
ляют выборочно просматривать нужные участки изображения.
Здесь также имеются инструменты, позволяющие производить из­
мерения параметров сиmалов непосредственно в окне.
Результаты расчета отображаются по мере выполнения модели­
рования. По завершении процесса моделирования, для просмотра
результатов каждого из назначенных типов анализа, необходимо
щелкнуть мышью на соответствующей вкладке, расположенной в
нижней части окна.
366
5.3. Моделирование электрических схем
Управление окном отображения результатов моделирования
может осуществляться следующими способами:
• вьmолнением команд главного меню системы моделирова­
ния;
• манипуляцией мышью с определенными областями окна;
• с помощью контекстного меню (нажатие правой клавиши
мыши в области окна);
• с помощью панели Sim Data, представленной на рис. 5.54.
Если панель Sim Data не отображена в окне системы моделиро­
вания, то ее можно включить, нажав кнопку Sim Data в правом
нижнем утлу окна системы моделирования (см. п. 1.3).
SoucoO.etii
Ь1
ы
ьз
Ь4
cvolt
dchg
rql
nq1
nq2
nq3
о1
,,?
SouceData.
Add W;:r,r: to Р1о1
Mellsuremenl C-..cor1
w-№,me
)(
у
х
у
1
---;(:3
Ri$e Time
F81Tme
Min
х
м�
i •
i=.es
Pro,ects
N�tgetor
Рис. 5.54. Общий вид панели Sim Data
367
Глава 5. Аналого-цифровое моделирование
На вкладке Sim Data отображаются следующие области, ис­
пользуемые для управления окном отображения результатов:
Wave Name - список доступных для вывода схемных пере­
менных;
Measurement Cursors - измерительные маркеры, здесь отобра­
жаются результаты измерения в виде значений «Х)> и «У» для за­
данных курсоров А и В, а также результаты обработки измерений
двух маркеров в соответствии с полным набором функuий, таких
как В-А, Minimum А...В, Maximum А. .. В, Average А... В, RMS А. .. В,
Frequency А. .. В;
Waveform Measurements - основные характеристики отображае­
мых сиrnалов.
5.3.3.1. Масштабирование графиков
Для быстрого увеличения масштаба графиков по оси Х необ­
ходимо курсором выбрать область графика, которую нужно рас­
тянуть на все окно. Начало области увеличения выбирается на­
жатием левой клавиши мыши, затем выбирается конечная точка
области перемещением курсора мыши при нажатой левой клави­
ше (рис. 5.55). После оmускания клавиши происходит увеличе­
ние масштаба, т. е. выбранная область целиком помещается на
всей оси Х.
1• 1\' 11 1 '! r
1,,, ·11 ·1·, 1 1 1 i•р·•··
11 1 • 1"
1
,, 1, ,1,.11·111',1 • t, 1 IJ 11 / ;;!•, !
,
Рис. 5.55. Увеличени·е масштаба отображения графиков по оси Х
Восстановwrь масштаб по оси Х для отображения всего интер­
вала моделирования можно следующими способам.и:
• нажать пиктограмму
рования;
368
в главном меню системы модели-
5.3. Моделирование электрических схем
• ввести команду View > Fit Documcnt в главном меню систе­
мы моделирования;
• в контекстном меню (при нажатии правой кнопки мыши в
поле графика) в области окна отображения результатов вы­
брать пункт Fit Document
Кроме увеличения масштаба с помощью рамки выделения
можно воспользоваться командами масштабирования, стандарт­
ными для всех приложений Altium Designer, такими как Scroll для увеличения масштаба и Shift+Scroll - для уменьшения.
5.З.З.2. Настройка параметров отображения документа
Настройки параметров отображения документа (Document
Options) определяют вид окна вывода результатов моделирования.
Вызвать окно Document Options можно выполнив команду View >
Document Options... в главном меню системы моделирования или
выбрать пункт Document Options... после нажатия правой клавиши
в рабочей области.
В появившемся окне Documcnt Options (рис. 5.56) можно за­
дать параметры:
• Bold Waveforms - утолщенные кривые отображения сигна­
лов;
• Highlight Similar Waves - подсветить выбранный сигнал;
Включение опuии HighHght Similar Waves позволяет при про­
смотре результатов всех видов многовариантного анализа
(Temperature Sweep - режим изменения температуры, Para­
meter Sweep - изменение параметров элементов, Monte Car­
lo Analysis
статистический анализ), выбрав конкретный
...
,
0,..,..,...,_
Е)-5""'-'....
□-о•. -.
, □ --s,­
,п_
�8
l!}s,,,,.0.,1•
!?)S'-P\oll•
l3S>,o,, ... L­
□si-1,oo... ...o
Рис. 5.56. Настройки отображения графиков
369
а
Глава 5. Анлого-цифровое моделирование
Clro_.__ ·l ■tJ>--м· ·1
,ор:,,,
/\
/\
1•
/
\
/
/
/
\
/
11
\
/
\
\
1• i OJSJ(>o
/
/
\
\
\
.i.t
/
\
/
1
\
.,,ооо,,
/
\ /
\ /
i\ /
\
\.
,_
,_
,.-
I
.,._
\,
I
.,,,,,.
1•
1,
1•
11
100,t>,
,.,.,.,
.....
-
IOS,t>,
,..,.,.,
L-(.1 \ .\
07'0 li
,10�
-
, \\
;..,,,.,,_
•� � f-\ 11 'f-. \\ ,-,IJ//11/
�w
....,..
,...,.,
0:,,0
ороо �
...� ГJ ,.
...soo
�
///
1001),
,1
,,-,,,s:..,
r.,.,,i
/
11
µ.µ
�
tlSP.,
._
...
•J">
..
....
_,_..,
)
\
/ г '\[\_
'\
\\
�;\
.."-""
�
·
- ......
J,-,t,
\\\
\..X.J/
\Jl(IO
11,,0..
J,...(o)
�
n.,-11
1\ \
"
,,...,.,
�
..... ,....10..
Рис. 5.57. Иллюстрация действия onu,uu Нighlight Similar Waves на
примере просмотра результатов статистического анаАиза
l>t.......,.. 1,-,-,,...1
вариант расчета (например для температуры tl), вьшелить
графики всех сигналов для этого варианта
• Show Data Points - показать точки моделирования, опреде­
ляемые шагом моделирования;
• Show Designation SymЬols - пометить IGlЖ.дЫЙ график специальным символом (удобно для черно-белой печати);
• Show Chart Title - показать описание анализа;
• Show Plot Title - показать описание каждого чертежа;
• Show Axis Label - показать подписи единиц измерения по
осям координат.
Кроме того можно изменить основной цвет элементов окна:
• Grid - цвет сетки;
• Foreground - цвет переднего фронта окна (текст, разметка и
осевые линии);
• Background - цвет фона;
• Swap Forcground/Background - поменять цвет переднего
фронта и фона.
Можно также залать область применения заданных параметров:
Арр\у to Active Chart On\y - применить только к активному
окну результатов;
Арр\у to Entire Document - применить ко всем окнам результа­
тов проекта;
370
5.3. Моделирование электрических схем
Save as Defaults - сохранить текущие параметры для последую­
щеrо использования по умолчанию.
Параметр Number of Plots VisiЫe - указывает количество гра­
фиков для отображения.
Рис. 5.58. Меню Tools
При управлении выводом результатов моделирования необхо­
димо различать три основных термина: Wave - сигнал, т. е. гра­
фическое отображение сигнала, Plot -. график, rде ·это графиче­
ское отображение размещается, т. е. оси координат, и Chart вкладка вида анализа в окне отображения результатов, на которой
размещаются графики для какого-либо конкретного вида анализа,
например вкладки Transient Analysis, Operating Point.
Для выбора текущего графика (Plot) в окне вывода результатов
необходимо навести курсор на поле в левой части окна вывода ре­
зультатов, соответствующее нужной ячейки и затем щелкнуть ле­
вой кнопкой мыши в этом поле. Текущая ячейка будет отмечена
символом 1 ► .
Для выбора текущего сигнала (Wave) необходимо навести курсор на имя сигнала, при этом курсор должен принять форму
После этого нажать левую кнопку мыши. Имя текущего сигнала в
окне вывода результатов будет помечено точкой, а сам выбранный
сигнал будет выделен утолщенной линией. Чтобы снять выделение
сиmала нужно нажать кнопку Clear в нижнем правом уrлу экрана
(Ctrl+C).
Для размещения нового сигнала в отдельной ячейке в опреде­
ленном месте окна вывода результатов, необходимо создать эту
ячейку, длЯ чеrо:
• в контексnюм меню, вызываемом нажатием правой клави­
ши мыши в области имен этой ячейки, выбрать пункт «Add
Plot>> (рис. 5.59а);
• после нажатия левой клавиши мыши будет предложено со­
здать график в виде мастера, а нажав NEXT на четырех эта­
пах этого мастера будет создан новый пустой график;
• поместить на этот график сигнал из списка доступных, для
чего:
- сделать rрафик актщшым, и далее с помощью выбора
названия схемной переменной и нажатия кнопки Add
Wave to Plot в панели Sim Data добавить сигнал
(рис. 5.596).
t, .
371
Глава 5. Аналого-цифровое моделирование
Add Plot ...
Add Wave То Plot .. ,
Delete Plot
FJ: Document
Plot: Options, ..
Chart Optlons ...
Document Options. , .
Рис. 5.59а. Добавление графика
.11■t .�...
'w-N<11ne
Q
SouceDllla
ь
-
е
/
b_m1
b_m2
.
'"
b_m4
,.,,;
SwceD&ta"
-
R
-· /�
Add W6'/е to Plot
1'
Рис. 5.596. Добавление сигнал_а
Возможно перемещение сигналов из одного графика на другой.
Для этого необходимо навести курсор на имя сигнала, при этом
курсор должен принять форму
После этого нажать левую
кнопку мыши и нс отпуская ее переместить имя сигнала на любой
график. После отпускания кнопки мыши сигнал будет перемешен.
Команды меню Tools. Перечень команд меню Tools приведен
на рис. 5.58. Рассмотрим основные команды этого меню, необхо­
димые для настройки параметров отображения результатов моде­
лирования. Помимо команды Document Options, которая была
рассмотрена выше, здесь имеются следующие команды:
• Сору to Clipboard - Команда позволяет сохранить активное
окно результатов моделирования в буфере операционной системы
для последующей вставки его в документ Microsoft Word.
Brightness... - Команда позволяет регулировать яркость выво­
щ1мых в окне отображения результатов сигналов. При вызове этой
команды активизируется диалоговое окно Modify Wave Colors
t7.
372
5.3. Моделирование электрических схем
r\lJ-Colo,s
1
,Lignten
ок
1[
Cancel
Рис. 5.59. Команда Brightness...
(рис. 5.59), в котором можно увеличить яркость (панель Lighten),
уменьшить (панель Darken) или восстановить первоначальное зна­
чение яркости отображаемых сигналов (панель Reassign);
• Store(Recall) Wavefonn - сохранение и загрузка выбранного
отображения сигнала.
Команды меню Chart. Полный перечень команд меню Chart
приведен на рис. 5.60. Основная команда этоrо меню, которая мо­
жет применяться для настройки параметров отображения резуль­
татов моделирования - это команда Chart Options ....
1 �hart �· Elot
W0ve
iJ' �ewChart ...
Wil
i1 Q.elete Chart
: �-
>
1.
1 -
�urce Data.,,
Create E.FT Chart
Chart Qptюns ...
Рис. 5.60. Меню Chart
При вызове этой команды активизируется диалоговое окно
Chart Options (рис. 5.61), в котором можно изменить или добавить
различные текстовые обозначения в поле окна вывода результатов
(вкладка GeneraI), или изменить масштаб оси Х и тип ее сетки
373
Глава 5. Аналого-цифровое моделирование
--i:::i:..=----
1
'
,o..,c..... -.--
11!1\><.VV- □В А
(],tfOl,/9
[Jp,.5
□-..
(]�,...
в- 0-
□-
СЕ]
Рис. 5.61. Настройки параметров отображения резуАьтатов
отдеАьного анаАиза
с.,,.
(вкладка Scale), а также отображаемую на экране информацию об
измерительных курсорах (Cursors). Опции вкладки Cursors актив­
ны только при наличии курсоров на графике.
Команды меню Plot. Перечень команд меню Plot приведен на
рис. 5.62. Рассмотрим основньiе команды этого меню, необходи­
мые для управления выводом результатов моделирования.
� W0ve
Y{1ndow
Mew Plo't...
Qelete Plot
Add Y.Axis
В.emove У Axis
f_ormat V Axis...
Plot Qptions,.,
Рис. 5.62. Меню Plot
• New Plot - добавление нового графика;
• Delete Plot - удаление графика;
• Add У Axis - добавление вспомогательной оси У, использует­
ся для сопоставления сигналов имеющих разные размерности (см.
п. 5.3.3.3.);
• Remove У Axis - удаление вспомогательной оси У;
• Format У Axis - настройки оси У;
374
5.3. Моделирование электрических схем
• Plot Options... - Настройки параметров управления выводом
результатов моделирования (режим Plot Options) можно вызвать,
введя команду Plot > Plot Options ... в главном меню системы моде­
лирования (рис. 5.62) или выбрать пункт Plot Options ... в контекст­
ном меню.
При вызове этого режима активизируется диалоговое окно Plot
Options (рис. 5.63).
Plot Optlons
Oim-
l&
�
0 Sto. � Gtid Lnes
0 S'- 't Gnd �
0 Show Mro Gnd l.ra
L,.r,eSl)llo ISok!
1
QI(
1
1:.'21-
�
1{ Cмtd 1
Рис. 5.63. Настройки графика
Это окно позволяет задать следующие параметры для текущего
графика (Plot), которые действуют только при количестве отобра­
жаемых графиков не более 4:
Title - название графика;
Show Х Grid Lines - показывать основную сетку по оси Х;
Show У Grid Lines - показывать основную сетку по оси У;
Show Minor Grid Lines - показывать промежуточные метки
осей координат;
Line Style - тип линии се�и.
Команды меню Wave. Перечень команд меню Wave приведен
на рис. 5.64. Все команды, кроме «Add Wave...•> действуют только
при выборе определенного сигнала в качестве текущего. Рассмот­
рим основные команды этого меню, необходимые для управления
выводом результатов моделирования.
Первая группа команд меню Wave предназначена для управле­
ния сигналами (добавление, удаление, редактирование). Вторая
группа для установки меток курсоров, по которым можно снимать
всевозможные данные с графика. Для добавления курсора необхо375
Глава 5. Аналого-цифровое моделирование
1
W�e) Wlndow
;11,,'
tlelP
A!;!dWl!Jve...
\�А
: t� �d1t INl!Jve ...
,,:- В.emove Wave
Clear Filter
1
Esc
Cur$0r �
Cursor �
ч, Select МinmJn Point
� Select мaximum Point
➔
7' Select Next Peak: Rlc;t,t
:� Select Next Peak: left
�-·• Se!ect Next Trouф: RiQht
w.
... Select Next Тrough: left
W;,ve Qptior,s...
Рис. 5.64. Меню Wave
димо чтобы на графике был выбран какой-нибудь один сигнал.
Группа команд, начинающихся со слова Select, позволяет найти
точки общих и локальных экстремумов с_иrнала. Для использова­
ния этих команд должен быть создан и выбран один из курсоро в
(А или В).
Команда Wave Optjons... При вызове этоrо режима активизиру­
ется диалоговое окно Format Wave. Эrо окно позволяет изменить
следующие параметры для текущего графика сигнала (Wave):
Name - имя сигнала;
Units - наименование единицы измерения (например не V, а В);
Color - цвет графика.
Команда Add Wave...
Команда добавляет новый сигнал в текущий график. При вызо­
ве этой команды из меню Wave открывается диалоговое оJ<Но Add
Wavc То Plot (рис. 5.65), в этом окне присутствует список доступ­
ных сигналов Waveforms, список доступных функций Functions и
поле для построения математического выражения Expression. Кро­
ме тоrо в поле Name можно задать имя размещаемого графика
сигнала. Опuия Add to new У Axis - добавляет новую ось ординат
к существующему графику.
376
5.3. Моделирование электрических схем
Быстрый способ добаnления графика сигнала в текуший Plot выбрать имя сигнала из списка доступных сигналов Wave Name
,..,•..,.,...,
,..,... s.....
t_m1
'-m2
'-тЗ
._/04
• "'5
b_n,1
ь_m2
ь_..з
ь_n,,1
b_m5
с
c_m1
e_m:!
с_mЗ
с""'
Е"'"'""'"
н-
ь
L
Рис. 5.65. Добавление нового сигнала к графику
А(-:•,-��""
Е
,"""'"'_ �·"
11r.'D.I
•
2
у
I/S>,
.t!ll,ta
у
s-.
f1.15A t!
r......., •
...
Jl!7-t4z
• ,,.
.. -v
,_,
..
...- "'""' '°'�
"'"""
...
.... ,
w..,,.,... ь.1�
-;.;;;;;..
r"1_
!•L,..
0
0CllN
IJJ)O
)'61Ьn
0.7'i0
Е
1.&'5,,
О)�
r,n;,,N
..,.,
...,..
..,,,.,
0,,00
..._..,
,
OJJ)O
111Ь
.,
Рис. 5.66. Использование меток курсора А и В
377
Глава 5. Аналого-цифровое моделирование
панели Sim Data и нажать кнопку Add Wave to Plot на этой пане­
ли. График сиrнала, будет размещен таким образом без каких-ли­
бо преобразований.
Команда Edit Wave... Вызов этой команды открывает диалого­
вое окно Add Wave То Plot (рис. 5.65), средствами которого можно
изменить параметры сигнала (вплоть до его замены на другой).
Команда Remove Wave. Команда удаляет текущий график из
Plot, причем имя удаленного сиrнала остается в списке доступных
сигналов Wave Name.
Команды <,Cursor А» и «Cursor В». Команды размещают для те­
кущего сигнала измерительные маркеры А и В. Результаты изме­
рения отображаются в поле Measurement Cursors панели Sim Data
(см. рис. 5.66).
5.3.3.3. Отображение графиков, имеющих разный масштаб
по оси у
Иногда бывает необходимо отобразить на одном графике две
кривые, имеющ1:1е разный масштаб по оси Х, например амплитуд­
но- и фазочастотную характеристики. Для этого необходимо перед
добавлением нового сигнала на существующий график добавить
новую ось У, с помощью команды Plot>Add У Axis. Единицы из­
мерения новой оси будут установлены автоматически после добав­
ления нового сигнала (см. п. 5.3.2.6.). На рис. 5.67а приведен при­
мер, когда основной график - амплитуда сигнала в вольтах, а вто­
рой график - амплитуда этого же сигнала в децибелах. На
рисунке 5.676, на одном графике совмещены сигналы АЧХ и ФЧХ
с разными единицами измерения.
1
oJl
-.
.
"'
"
_... ....
..... .. .,,. .... ----_.., -,-·-·...-..-- ••.... н�м
i .... ....
.... ..'·- 'i1/
1• il
'
,.
.
.
.
.
...
-·,... ....
"-...
i - л
-t --.....
- ·- - ..... --.
·- J
-
11! ......_
,..
,,,..;,..>1_'i'r11.1;c,��·-�:•.i _��: " _.
&Ч�� • ·•• •r;
.,.
- -•■,._� .. -1 ...._,,r..,1,;.-. ., '
t
■•--••f.o'..lt'l': 7 �
.,... �
.,.,. =
J\
!
\
/
f
J
.,,.
""'
/
,/
,/
,/
о .....
'
J \
._,..,
........
'1
..m• :"'
.,,,. t:.
1-=-••-.....
J
� �
..,.
,_..,
'
.,
�,_,....__. ........
Рис. 5.67. ДобавАенив доnоАнumеАьной оси ординат
378
5.3. Моделирование элентрических схем
5.3.3.4. Использование измерительных маркеров
Для проведения непосредственных измерений параметров сиr­
Н3.ЛОВ на их графиках имеется возможность использовать два из­
мерительных маркера. Например, на графиках, полученных в ре­
зультате выполнения анализа переходных процессов, можно изме­
рить временные интервалы и уровень сигнала, а на частотных
характеристиках можно оценить полосу пропускания по опреде­
ленному уровню. Измерительные маркеры могут быть помещены
как на один, так и на разные графики.
Чтобы поместить маркер на график, нужно выбрать сигнал, на
который ero надо ПО\1естить (рис. 5.68), а затем в контекстном
меню выбрать одно из двух имен маркеров, например Cursor А.
Для перемещения маркера по графику нужно установить на него
курсор (форма курсора должна измениться на
). Перемещение
маркера производится мышью при нажатой левой кнопке. Резуль­
таты измерения отображаются в области Measurement Cursors на
панели Sim Data виде значений «Х:» и <,У:» для заданных курсоров
А и В. Кроме того по умолчанию определяется разность значений
Хв-ХА и Ув-Ул-
,t,
\Allнm Oesw,er W1111er 09\f••11ple,\Ctrc1111 SмiuL,rlon\Вandpass Amplilм..•
�
.........
�
i_;,t,
l�,.._"'),flSS11ё:t
\"',,,_,.;_;-.---:у
CJ
Си<О"А
EdtW..,.
Remove Wev,,
-w......
Crass РtоЬо to Sche,not,,:
-Ю)� l-'-.L.L-'-'-'-L...1...-'-+-'-'-1...J'-'--'-'--'-'-+-L...L.L.....L-'-'-'-�
20,()0u
osxn,
ЭQ,()Ou
Рис. 5.68. Добавление измеритеАьных маркеров
379
Глава 5. Аналого-цифровое моделирование
Удалить установленный маркер можно наведя на него курсор
мыши и нажав правую кнопку и выбрав команду Cursor Off.
В третьем окне области Measurement Cursors задается функция
обработки результатов измерений двух маркеров. Здесь содержит­
ся перечень всех доступных для заданного вида анализа функций
обработки результатов измерений. Кроме описанной выше функ­
ции вычисления разности измерений курсоров (В-А), которая
определена по умолчанию, используется ряд других функuий, та­
ких как:
• Minimum А... В - определение минимального значения У на
интервале между курсорами Аи В;
• Maximum А. ..В - определение максимального значения У на
интервале между курсорами А ·и В;
• Average А. .. В - среднее значение У на интервале между кур­
сорами А и В;
• RMS А...В - среднеквадратичное значение У на интервале
между курсорами Аи В;
• Frequency А. .. В - частота У на интервале между курсорами
Аи В.
5.З.З.5. Математические действия с рассчитанными
сигналами
.
При моделировании электрических схем иногда требуется провести некоторые математические действия с рассчитанными сиг­
налами и просмотреть результат. Данная функция интегрирована
в окно просмотра результатов расчета и позволяет строить графи­
ки математических выражений с использованием любого из сиг­
налов и характеристик сигналов, имена которых присутствуют в
списке доступных имен области Waveforms.
Математические функции задаются в диалоговом окне Add Wave
to Plot, вызываемом нажатием кнопки одноименной командой из
контекстного меню при нажатии правой кнопки мыши в любой об­
ласти графика. В этом окне присутствует список доступных сигна­
лов Waveforms, список доступных функций Functions и поле ДJIЯ
построения математического выражения Expression (рис. 5.69).
Выражение в поле Expression может быть записано как непо­
средственно, так и последовательным выбором необходимых сиг­
налов и функций из соответствующих списков. График рассчитан­
ного выражения будет добавлен к тому, который был текущим ·на
момент вызова окна Add Wave to Plot .
380
5.3. Моделирование электрических схем
- [llE]
---
,tdd Wove То l'lol
,..,_
\tl,n,eS.,141
-
•
•4
.
-
-
с
•n
d
о.А
ASIN()
дSINНI)
•Тд/11)
'[""'....,
IAVGt
1-
1-
.
1
-
A8Stl
ACOS(J
.ACOSII()
ASINI)
ASttlH(I
н 1
бОЩ 1
COS(J
1
C.rcel
I
1 v2!rl
!�
j
()
v1111>tancll
v1(•1
v211Ьranch
rosн11
Е...- l•VG!wl
N""'
OiJdd10.....,v""'
1 с,.... 11
.,.,,..,_
•
ь
•n
�
вооu
COS(J
COSHfl
--
-
d
дЗS()
ACOS()
ACOSHII
•21•1
-
��Jl.JP��- -- -� . IШ]
eцc,
s
l
...
f...-.cllonl
rw
с
I
v1111>1"""v1[rJ
v211t,,rdl
., N-
'
fl.ntlioN
1)
-
1
1
�
1
1
0�1o,_v_
1
uиl•
11 c.n:.i 1
Рис. 5.69. Формирование математического выражения
На рис. 5.69 представлен пример формирования математическо­
го выражения для получения и вывода в графическом виде средне­
го значения напряжения сигнала в узле OUT (AVG(out)), последо­
вател.ьным выбором функции осреднения AVG() (рис. 5.69а), затем
установкой курсора в nозиuию после открыnающейся круглой
скобки поля Expression (обычно он уже устанавливается автомати­
чески в нужную позицию) и выбором сигнала OUT (рис. 5.696).
Результат вычисления выражения AVG(out) представлен на
рис. 5.70.
ш��-�__,.'--=.--�---��
AVG(o,J)
-166,7rn
�--�J,,+--+--+-'--1---+--!-f---+--+-�
-З:33,3m
-500,()rn
O,<m,
J
••• ·,
:
LLJ..i_
10.00,
U. L_ ..1.
::0,00,
L
ЭO.Dlk,
Рис. 5.70. Результат построения графика усредненного сигнала Оиt
381
-
Глава 5. Аналого-цифровое моделирование
Описание возможных математических функций и операторов
приведено в таблице 5.8.
Таблица 5.8
Функции и операторы" используемые для вычисления
математических выражений
Оператор или функция
Описание
++,-
Приоритет вычисления
Соожение. Вычитание
*,/
Умножение. Деление
()
АВS()
Возве.дение в сrеnень, работает аналоrмчно функции FWR( , ), у"х
возвращает величину 'f
Абсолютное значение. АВS(х) возвращает �1
SIN(), A.SIN()
COS(), ACOS()
Синус. Арксинус
Косинус. Арl(J(осмнус
SINH(), A.SINH()
Гиперболический синус. Гиперболический а�жсин ус
COSH(), ACOSH()
Гиперболический косинус. Гиперболичес кий арl(J(осмнус
TAN(), ATAN()
Тангенс.Арктангенс
TANH(), ATANH()
Гиперболический арктангенс. Гиnербол11ЧеСкий тангенс
AVG()
Ф-Jнщия осреднения, возвращает среднее значение сигнала
вооц')
Булева функция. ВООЦсигнал, nopor) генерирует 1, если сигнал
превышает noporoвoe значение, и О, если смrнал ниже порогового
значения
АА
ЕХР()
Производная dx/dt, возвращает наклон отрезков кривой в интервалах, равных шаrу моделирования
Экспоненциальная функция
INТ()
Интегральная функция
LN()
Натуральный лоrарифм
LOG10(), LOG2()
Логарифм по основанию 1 О. Логарифм по основанию 2
FWR(')
Возведение в сrеnень. Работает аналоrмчно оператору •
RMS()
SORТ()
Среднеквадратичное значение
Корень квадратный
UNARY()
Инверсия знака. UNARY(x) возвращает значение -х
DER()
382
1
-
5.3. Моделирование электрических схем
Окон�а11ие таб,1. 5.8
Оператор или функция
URAМP()
1
/USТEP()
Описание
Функция скачка . URAМP(x) возвращает О, если х меньше О, и х,
если х больше или равен О
Функция единичного скачка (функция Хевисайда). USТEP(x) возвращает О, если х меньше О, и 1, если х больше или ..равен О
5.3.3.6. Основные пиктограммы управления выводом
результатов
Некоторые команды управления выводом результатов модели­
рования можно вызывать не только из главноrо меню системы мо­
делирования, но и используя пиктограммы, размещенные на па­
нели инструментов в верхней части окна системы. Назначение ос­
новных пиктограмм приведено в таблице 5.9.
Таблица 5.9
Основные пиктограммы. управления выводом результатов
моделирования
Пиктограмма
Эквивалентная команда
Назначение команды
□
-
Прерывание моделирования
�
View>Zoom ln
Увеличение масштаба
�
View>ZOOm Out
Уменьшение масштаба
lg}
View>Fit Document
Показать весь график
-�
Chart>New Chart...
Создание нового графика
Chart>Delete Chart
Удаление графи ка
·�
Wave>Add Wave ...
Добавить сигнал на график
1р.,-.
Wave>Edit Wave...
Отредактировать сиmап
Wave>Remove Wave
Удалить смmап с гра�ка
в-
..
�
IJ1"-
383
Глава 5. Аналого-цифровое моделирование
5.4. Обработка ошибок, возникающих
при моделировании
5.4.1. Понятие сходимости процесса моделирования
Большинство проблем, возникающих при моделировании, свя­
зано со сходимостью. Подобно большинству программ моделиро­
вания, программа SPICE, выполняющая расчет режима по посто­
янному току, использует итерационный метод решения системы
уравнений, описывающей схему. Если модулю не удастся рассчи­
тать напряжения и токи в статическом режиме, то дальнейший
анализ цепи невозможен.
Для определения постоянных напряжений и токов в рабочей
точке цепи, на каждом шаге моделирования программа SPICE ре­
шает систему линейных уравнений, выраженную в матричной
форме. При наличии в цепи нелинейных элементов программа
SPICE для расчета нелинейностей использует итерационный про­
цесс решения системы линейных уравнений. Программа берет на­
чальное приближение напряжений в узлах схемы, затем на основе
проводимостей цепей рассчитывает токи ветвей. После этого про­
исходит пересчет узловых напряжений и процесс повторяется сно­
ва. Этот итерационный процесс будет щюдолжаться до тех пор,
пока все узловые напряжения и токи ветвей не достигнут задан­
ной точности вычисления, т. е. пока процесс не сойдется.
Если процесс расчета напряжений и токов не сойдется за за­
данное количество итераций, программа моделирования генериру­
ет сообщение об ошибке, например:
singular matrix - вырожденная матрица;
Gmin stepping failed - сбой метода пошаrоrюго измен�ния ми­
нимальной проводимости;
source stepping failed - сбой метода пошагового изменения сиг­
налов источников;
iteration limit reached - достигнуто предельное число итераций,
и прерывает процесс моделирования.
Программа SPlCE использует результаты моделирования на
данном временном шаге в качестве начальных приближений для
следующего шага. Если процесс не может сойтись при анализе пе­
реходных процессов (пошаговое изменение времени), шаг прира­
щения времени автоматически уменьшается и цикл вычислений
384
5.4. Обработка ошибок, возникающих при моделировании
повторяется. Когда шаг по времени достиrnет своего минимально­
го значения программа моделирования выдаст сообщение:
timestep too small - слишком маленький шаr по времени.
5.4.2. Внутренние переменнь1е системы моделирования
и их корректировка
Для настройки алгоритмов моделирования используются сис­
темные переменные программы SPICE, доступ к которым осуще­
ствляется из диалогового окна Aлalyses Setup (см. п. 5.3.1.).
В поле Aлalyses/Options окна Aлalyses Setup выбирается оnuия
Advanced Options (рис. 5.71), при этом в правой части окна появит­
ся список системных переменных Spice Options. Наличие в nози­
uии Def символа « v » означает, что значение переменной установ­
лено по умолчанию (стандартное значение).
�-,,sJOpt,an,
G..,..oiSecц,
0.,.,dr,gPc,nl�_.
1,.,.,,..., �,;,
ocs-�
1>L, S""'8 5,gnol �
�1,ё�е�•
PdoZeto�
Trмsfei fU"ltlЮП�t:
1.,_o11,.s­
p.,.,,..,..,s_
....... с..1о�,,,,.
GloЬ,IP,r.,,...,.,,
М..rc:-tdO""°"'
Е...ы.<!
о
�
о
о
о
о
о
о
о
о
� -- -
Sp,c.0""°"' ----�
Vм
Od ,,.
с..- ОрЬоn-- - 0_,.,ojon
-• w,-, .... '"""""" (OJ
1 0См>
1 ASSTOL
� 11'
дССТ
O
C>�«<O<rh>grl"INhon
10Юn
ll>nologthl,-gefo,<lgl,lovorl(nJ
.OOCSTEP
MOP.N'1TLAL u"AP,,.....;_,
UuM0S3....,�--n,iy
ВдОМОS.Э
O
4 500
BOOl>i
В«kмbll><A 1-ф v..., (О 1
BOOLL
Вооlо.....................(1))
orro
1500
8cd,.,1�1he<holdv....,.IO)
БООLТ
U,e�.,..,.!,lev.,._
IIYPASS
1:!)
1000.-15
CНGTOL
Ch,rll"IQle,or,ceon�JOI
CONVAIISSTEP_ АЬrсш ,tepfo,co:!e�.-..u,101 l100f)n
i-.'J
,':'!
□-�
(!:;
lnlf!Jllol-
'1,_n>dol
l),olol...щ,VCC
l)фls�vr,[)
S=OOJ====�
l,_1s_oo______,
�
�
�
�
[!]
� D
Spco Role<onteNel N- i/,IIGN"0---=-..;:;;,
j�_
()К
II Смсе1
Рис. 5.71. Корректировка системных переменных.
Для корректировки системной переменной, необходимо вы­
брать ее в списке, шелкнутъ правой кнопкой мыши в позиuии
Value выделенной строки и ввести новое значение. Затем нажать
клавишу Enter на клавиатуре.
Для восстановления принятого по умолчанию значения сис­
темной переменной, необходимо выбрать се и в позиuии Def вы­
бранной строки шслкнуть левой ю�авишей мыши. В этой позиuии
13 зак. 32
385
Глава 5. Аналого-цифровое моделирование
должен появиться символ«✓,>, а значение переменной должно из­
мениться на стандартное.
Кроме того, в поле Integration method: можно изменить метод
интегрирования. По умолчанию задан метод трапеций (Trapezoidal).
Список системных перемени, значения которых можно коррек­
тировать в случае несходимости результатов моделирования, при­
ведены в таблице 5.10. Стандартные настройки остальных пере­
менных изменять нс рекомендуется.
Та6Аuца 10
ОсновнЬlе переменные системы модеАирования, влияющие на
процесс сходимости.
Описание
Размерность
Значение по
умолчанию
АВSТОL
Абсолютная допустимая ошибка расчета токов.
А
10 ·12
GMIN
Минимальная проводимость ветви
цепи.
1/Ом
10 -12
IТL1
Максимальное количество итераций
при расчете по постоянному току.
100
Максимальное количество итераций
при расчеrе передаточных функций
по постоянному току при переходе к
сnедующей точке.
50
Имя переменной
IТL4
Максимальное количество итераций
на каждом временном шаге при анализе переходных процессов.
RELTЩ
Максимально допустимая оmосительная оwибка при расчетах напряжений
и токов при анализе переходных процессов.
RSHUNТ
Величина добавленного соnротивления между каждым узлом схемы и
землей, для обеспечения сходимости
Ом
о
VNТOL
Абсол1ОТНая допустимая ошибка расчета напряжений.
в
10·6
386
-
40
10"3
1
i1
5.5. Моделироеание проекта ПЛИС
5.4.3. Рекомендации по решению проблемы
сходимости
Приведенные ниже рекомендаuии помоrуr решить проблемы
сходимости. Изменение системных переменных следует проводить
в соответствии с указанной последовательностью.
Устранение ошибок, возникающих при расчете рабочих точек и
анализе схемы по постоянному току:
1. Увеличить значение IТLl до 300.
2. Увеличить значение GMIN до 10-11.
3. Увеличить значение ПL2 до 200.
Устранение ошибок, возникающих при анализе переходных
процессов:
1. Увеличить значение RSHUNT до I k.
2. Установить значение RELTOL равным 0.01.
3. Увеличить значение ПL4 до 100.
4. Увеличить значения ABSTOL и VNTOL. Устанавливаемые
значения должны быть примерно на порядок меньшими, чем ми­
нимальный предполагаемый уровень напряжений и токов в схеме.
5. Изменить метод интегрирования на Gear (метод прямоутоль­
ников). Этот метод интегрирования требует большего времени мо­
делирования, но работает более стабильно, чем метод трапеuий.
Этот метод рекомендуется применять для схем генераторов и схем
с обратными связями.
5.5. Моделирование проекта ПЛИС
Разработка проектов с использованием программируемых логи­
ческих интегральных схем (ПЛИС) не входит в рамки этой книги
и будет рассмотрено более подробно в следующем издании. Здесь,
для более целостного представления о процессах моделирования в
Altium Designer, будет рассмотрена лишь малая часть из области
проектирования ПЛИС - моделирование. В Altium Designer име­
ется две возможности моделирования, во-первых, это собствен­
ный инструментарий, позволяющий промоделировать схему или
исходную программу на языке VHDL, еще до выбора конечного
устройства, во-вторых, для моделирования, а также для последую­
щего синтеза и формирования конечного кода прошивки ПЛИС
могут бьrrь использованы программы поставщиков ПЛИС (Altera
Quartus 11, Xilinx ISE Foundation и т. д.), с учетом выбранной мик13'
387
--
Глава 5. Аналого-цифровое моделирование
росхемы. Во втором случае для результатов моделирования ис­
пользуется плата отладки NanoBoard, которая приобретается от­
дельно от программ. В данной главе будет рассмотрен лишь пер­
вый вид моделирования, который не требует наличия
дополнительного инструментария.
В качестве примера предлагается описание проекта простого
RS-тpиrrepa, построенного на двух элементах 2И-НЕ (рис. 5.72).
ardillнt.-.
ot
1• - ое.... ,....,.
.,,.._Jт-t-r• ,м.., ■t•r �У".. .,
- l!U•.L80 e,,-,.,,t:rff R-..........
·•·1·,
1 ••1•:
..., N."11�1,-.:
- Wl\iUl:UIW. �IIIIIO.t ••.,.... .1 •
••
_,.,_wцiu
:..•-- - нv�,-: �- l•r•
�- -· •"'J'Нф.••rс..- •k..ax r
..-к,м
...<.•О• •••и !О.,, L .11.rтп to •:
�,,-ue-�1
r....1� ... н,е.::,q,:•,по,,..: 08КIJОМ 1---r�
-.,1.11 -• ...
�111'1f'At l«..11:n 1'0 �
t<•'O' •i1п 20 •�•1• .11.ttn )О•·
.:t\...,.
..:
............-,ioflCU"-1�•--.,_
:
.........
..,,_.
«w)IIO'f A"'ntU
1"'�tf..,,...,.r,tp1
Н ·•'tl' ...t ••'1" Lllt.a 1-•'I ; :(•'0'1
•1-it • 1' -s.-·o• twa ,·••o•r.;,t• а•,
--Y-t 1'11111 t ..•о• _, ••O•t - n,,<;Jilf'U
•�·tt';)')'t t11t-1: ,1r..V-•-,•�••"<J'r·
S-..nt, __,,..
- н�·JI •
,,,_..,.,.ftt"f
"1!•НЕ
... L
•••
_
... . .,.....
Рис. 5.72. Описание работы RS-триггера в виде схемы и в виде кода
VHDL
Подобная схема может быть представлена n двух различных
стилях, в виде схемы и в виде текстового описания на языке
VHDL. Рассмотри процесс реализации такого проекта по порядку.
1. Для формирования логики схемы, к0торая впоследствии бу­
дет запрограммирована в ПЛИС, используется проект Prjfpg, ко­
торый создается командой File>New>Project>FPGA Projcct. Сразу
после создания нового проекта, его необходимо сохранить,
File>Save Project.
2. Как было сказано выше, проект может быть создан в виде
схемы и в виде программного кола, а также в виде иерархичной
структуры, объединяющей схемные блоки с листами программ.
388
.,.а
5.5. Моделирование проекта ПЛИС
в
рассматриваемом случае, описание устройства будет проводить­
ся на схемном уровне, т. к. такой подход более прост с точки зре­
ния разработки (в этом случае не требуется знания VHDL). Для
этого создаем внутри проекта новый лист схемы, для чего в пане­
ли Projects нажимаем правой клавишей мыши на названии проек­
та и выполняеr-.1 команду Add Ncw to Project>Schematic. Схеме не­
обхол11мо присвоить т.1кое же 11:,1я как и проекту (требование про­
ектов ПЛИС, лист верхнего уровня должен иметь название
проекта).
3. На новом листе необходимо сформировать схему, показан­
ную на рисунке А\, лля чего используются компоненты, имеющие
описание для ПЛИС. Такие компоненты располагаются в библио­
теках, находяшихся в лапке .. .Altium Designer... \Library\Fpga. Эле­
менты булевой алгебры расположены в библиотеке FPGA
Generic.1 nt Lib.
4. После формирования схемы, необходимо создать тестовый
файл, в котором будут описаны параметры входных и выходных
сигналов для моделирования проекта ПЛИС. Такой файл имеет
расширение *.VHDTST и создается командой File>New>Other>
VHD TestBench. При сохранении файла, запрещено использовать
тоже имя, что у самого проекта Ниже приведено описание блоков
тестового файла:
library IEEE;
use ieee.std_logic_J164.al\;
use ieee.std_logic_textio.all;
use std.textio.a\l;
-- Ссылка 11а стаnдартные файлы onuca1tuя базовых комаид языка VHDL
entity TestRC is
end TestRC;
-- Описание текущего объекта (Нс должно совпадать с именем
проекта!)
architecture Stimulus of TestRC is
-- Начало описаnия блока architecture, Stimulus - назва11ие блока,
TestBCD - 11азвание используемого файла
file RESULTS: text open WRIТE_MODE is <•rcsults.txt,,;
-- Фopflt11poвa1111e времетюго файла с результатами моделирования
procedure WRIТE_RESULTS(Q: std_logic;
nQ : std_/ogic) is
389
Глава 5. Аналого-цифровое моделирование
-- Описание блока procedure, WRJTE_RESULTS - название блока, OUT­
PUT - переменные для .моделирования, std_/ogic - фор,11ат переменной
variaЫe s : line;
-- Описание временной переменной для расчетов
Ьegin
write(s, now, right, 15, ns);
write(s, Q, right, 2);
write(s, nQ, right, 2);
writeline(resu\ts, s);
end procedure;
component RS
-- Описание главного схемного листа (ПЛИС)
port (
-- Описание входов и выходов
R: in std_logic;
S: in std_logic;
Q: out std_logic;
nQ: out std_logic
);
end component;
signal R: std_logic;
signal S: std_logic;
sigпal Q:std_logic;
signal nQ: std_logic;
-- Описание типов входных и выходных сигиалов
Ьegin
DUT:RS port map (
-- Подключение сигналов к выводам ПЛИС (Сигнал => Вывод)
R=> R,
s => s.
Q=> Q,
nQ => nQ
);
ENABLEs:process
-- Описание входных сигналов (ENABLEs - название описание, не дол­
жно совпадать с имена,11и выводов и и,11енами сигналов)
Ьegin
R <= 'О';
-- Начальная установка сигнала R
wait for I О ns;
-- Время до переключения
390
5.5. Моделирование проекта ПЛИС
R <= '!';
-- Новая установка сигнала R
wait for I О ns;
-- Время до переключения (если на этом описание заканчивается, то
сигнал будет повторяться с указанным интервалом)
end process;
ENABLEa:process
Ьegin
S <= 'О';
wait for 20 ns;
s <= '!';
wait for 20 ns;
end process;
-- Завершение описания входных сигналов
WRIТE_RESULTS(Q, nQ);
-- Сохранение результатов для переменных (OUTPUT - переменная,
если 11есколько, то указываются через запятую)
end architecture;
-- Завершение описания блока architecture
В данном случае были описаны входные и выходные порты (R,
S, Q, nQ), и на входы бьmи поданы сигналы (R - с частотой
10 нс, S - 20 нс).
5. Имея схему триrrера и файл тестовой установки, можно вы­
полнять компиляuию проекта, но предварительно нужно задать
опции проекта - Project> Project Options. Здесь необходимо на
вкладке Simulation указать программное средство для моделирова­
ния (Tools). Если будет использована внешняя программа, то необ­
ходимо при запуске процесса моделирования указать ее располо­
жение на диске. В строке Testbench Document необходимо указать
название тестового файла, откуда программ будет брать параметры
входных сигналов. В последующих двух окнах (рис. 5. 73) нужно
указать название верхнего уровня конфиrураuии (по программе
тестового файла, обычно совпадает с названием тестового файла),
и название верхнего уровня архитектуры.
6. Выполнив все описанные действия можно запускать процесс
моделирования. Можно предварительно выполнить компиляцию,
но этот процесс выполняется автоматически при запуске модели­
рования. У начинающих пользователей при компиляции появля­
ется большое количество ошибок, поэтому большую роль играет
391
--
Глава 5. Аналого-цифровое моделирование
Eno� �
or1�P1r11 s...cf:!'Oilu s� �р.,...,...,
о
Тое/
D№s.......,
r,•.,,
Te:lt.<nth О.С.,,,,,
l'�Vf!OlSI
T�мlE��eli,n
T..iRC
ruc. а.,.,. пастроики мооелирования проекта
npouecc верификаuии схемы, кода или тестового файла. В данной
главе не описывается этот этап, т. к. особенности этого процесса в
Altium были описаны выше (см. гл. 3.8), а особенности программы
на языке VHDL, ошибки в которых наиболее часто встречаются в
этом этапе, не входят в рамки данной книги.
7. После верификации и успешной компиляции проекта на эк­
ране появляется начальное окно запуска моделирования (рис. 5.74).
W&hN-
в
-- ---
v
.:!.
N-:ouт
-
v
____,_
v,
�
v
v
J
_002_0
_001_0
·:,
Оач,
0 St-ow on stмЦ)
ы ор сигналов
8. Здесь показаны все сигналы, которые могут быть про.модели­
рованы в данной схеме и предлагается выбрать список сигналов
для отображения. Выбираем входные и выходные сигналы тригге­
ра (R, S, Q, nQ) и нажимаем кнопку Done (продолжить)
9. Выполнение перечисленных в двух предыдущих шагах дейст­
вий не запускает процесс, а только выполняет переход в среду мо­
делирования, интерфейс которой показан на рисунке 5.75.
392
....
5.5. Моделирование проекта ПЛИС
........ k><.f:'OOJ
•S9-• TJA
,80
.....�
.•. ......
"'l""'
....
.,.
-
V
Рис. :Г.-ТS". Настройки моdеАирования проекта
10. Для управления npoueccoм молелирования используется па­
нель VHDL Tools (рис. 5.75), в котороii собраны стандартные ко­
манды, характерные для большинства оболочек моделирования.
Для запуска проuесса нажимаем кнопку Run Simulation ... , после
чего предлагается указать временной интервал. После нажатия
кнопки ОК проходит проuесс моделирования и на экране отобра­
жаются его результаты (рис. 5.76).
• · · · ·20· · · · • • · · ·30· · · • • • · · ·<IO·
з I
nQ
Рис. :,.1ь. Результаты мооелирования
Для анализа результатов моделирования удобно использовать
маркер бордового uвета, передвигая который можно оuеюпь зна­
чение сигнала в заданный момент вре�tени.
393
Глава 6. Для профессионалов
6. 1. Введение в язык запросов
(Query Language)
Для выбора или описания группы однородных по нескольким
признакам объектов, в AJtium Designer используется язык запро­
сов, именуемый в самой программе термином Query. На рисунке
6.1 показан алrоритм использования языка Query, который позво­
ляет сформировать запрос по нескольким критериям, результаты
которого потом будуг либо отфильтрованы на плате (схеме, биб­
лиотеке и т. д.), либо использованы в качеетве области примене­
н
•FSO - Find Siшilar Objects
Рис. 6.1. Схема работы запросов в Altium Designer
Использование языка запросов проще всего рассмотреть на
примере работы панели Filter (рис. 6.2), которая предназначена
nno Пt..fПРПР1,НАО l"ln'J..P'k'Т/"\R П() ��nnnrv
PrBFoltн
0AIOЬieds
•х
rond •- � lhose t,__
I�»e�1gnator An� (Potation • 'Z70,00D')
Ot,jecl& pмti,g lhe
8Select
rt. •
0Zoom
0 Selected Ot,ec1,
()Ьjecls nol 1)818'9 ltr llei
0 llon Seleded OЬiecli
00
� �..,
-
Рис. 6.2. Поиск •неправщьно• ориентированных позиционных
обозначен�иi. чеоез панель Filter
394
6.1. Введение в язык запросов (Query Lвnguagв)
В случае, показю-:ном на рисунке 6.2, задается запрос на поиск
�ех trозиционных обозначений, повернуrых на уrол 270 градусов.
анель Filter условно можно разделить на три части:
• Limit search to - ограничение объектов, среди которых про­
водится поиск (АН - все, Selected - выбранные, Non Selec­
ted - не выбранные);
• Find items matching these criteria - поле ввода критериев по­
иска, под кото;:,ым находятся кнопки, стандартные дЛЯ всех
диалогов, работающих с запросами (описаны ниже);
• Object Passing (�ot Passing) the Filtering - команды применя­
емые к выбранным и не выбранным объектам.
I1o результатам данного запроса на плате будуr подсвечены все
03
" 111.tионные обозна чения (lsDesignator), повернуrые на 270 rра­
дусо11 (Rotation = '270,000'). Сразу можно отметить, что строка
�111ериев запроса содержит некоторый синтаксис, не знакомый
JIЪl.!Jинству разработчиков. Этот синтаксис можно получить дву­
мя СtJособами, во-первых, введя непосредственно текстом, во-вто­
РЪIХ, lfспользуя допо.nнительные диалоговые окна:
• Helper - помощник создания запросов;
• Builder - конструктор запросов;
• llistory - история ранее введенных запросов;
• J:'avorites - избранные запросы.
• х
ЧЬормировать запрос можно с РСВ lм f'ctor
lnd.lcle о1l>WJ m«ts
"0м0tцыо создания запроса, или с
,
�О"!.Н)tцью диалога Find Similar Ob­ вк...�
Ject, 11 котором запрос создаетс я по­
Qt,jecl Кr,d
Е1 OЬiecl S peciloc
средtrвом выбора критериев через
Sbr!g Туре
д1tалоt.
La,er
< •.• >
Со,rр;,,м
Ка)(
можно
использовать
запрос,
< •.. >
Stmg
ф0
� Р�ированный на рисунке 6.2? Е1 G1ephical
а116о11ее удобно воспользоваться
<••. )
Х1
О
<
•.. >
У1
ГJJ б�ьным ред�ктированисм, с по­
Locked
о
м( O!Цtl() панелей Inspector или List
Pat5<tra с которыми описывалась в
fJJaR��
"""'2.4, 3.9). так, например, на0.1524mm
Te..t\lldh
t�а вишу FI 1, появится панель
llS\>ector (рис. 6.3), в которой мож- ЗoЬject(slare�
1io И¾е нить угол поворота выделенРис. 6.3.
1iЫХ Объектов.
Изменение параметра
°'
f11
395
Глава 6. Для профессионалов
На вкладке Histoty хранится история запросов в текущей сес­
сии проекта. На вкладку Favorites могут быть добавлены наиболее
востребованные запрос�,. которые могут быть использованы в да­
льнейшем. Примеры запросов, а так же последние использован­
ные запросы доступны в подменю Filter (рис. 6.4), контекстного
меню, появляющегося при нажатии правой клавиши мыши в ра-
,.,... .
l
- - -"""'
t f!>d--•
bldQ,r,...
r,
�;;;r
"g ........ ,�
- Е.;;;,_=----------<
,..,о,а._1
1.r,..i,n.c.c-1 1ц 1totct.,on • .,,о.ооо· J
faYOнt.._t
l1P.,S
�
_,ct.,.D/lo...,;P•R""'J
�(•.t._h_,. _-_°'_._••----1
,. ..
l,i,t,,-�C>A>'Q
\
1� ;..-
С•-
,......
n,1-,
---
-- �с�� ..
1-
,..,'2-1
h-'..-:
� f'"•
1tfl�МG1Жh"C:o.l(>!)
ь,...,._.,.,.,t".....,,•::7110171
[_�-;;---;-
х1 СО•,..,
·-
�
--
Рис. 6.4. Примеры запросов и избранные запросы
,№>(
..
-
-�
Работа с панелями Filter и Find Similar Object уже неоднократно
описывалась- в разных разделах, и сам полход не вызывает боль­
шого вопроса у пользователей. В данной rлаве будут рассмотрены
инструменты He\per и Builder, которые позволяют сформировать
запрос в <•чистом,> виде. Кнопки Helper и Buildcr доступны в ок­
нах: Filter, Librarics Scarch, Design Rules и др.
Диалог Buildcr, позволяет формировать запрос, ttспользую rо­
товь,е шаблоны. Вызов этого диалога осуществляется нажатием
Shift+B, после чего на экране появляется окно, показанное на
рис. 6.5.
Buildlщ Qlll!ry from Вомd
l_l_RX
!St-AIL...tt
у
1
C<mlton тWo /0.,..olol •
-0,-
.. • • • .., •J
1Cc,rdo"!'\lм
Ot,jecti.-.:t�
OR
Pod
ott,,ct l(r,d "
у"
юr,dl•:, ,.тМ!оо
IO.�"'�----(
)
lsPad
OR
lsVia
АНD
lntlel( l:i·.·)
МID
-121'
в..,,,,.1о11е1
1
�;t;!�........�...
PJz_, м"c1w,g
)(
��oled M,IO,od
__Jw
[JC,..,o[?J'o...,,,J.!!_OIINI
0D,.,E"-""O
f2jA..,lnч,c,c.lo
1 вщ, 1 1 о� 11
t.ral
1
Рис. 6.5. Конструктор формирования запросов Query Builder
396
б.1. Введение в язык запросов (Query Language)
Здесь имеется набор стандартных команд и два окна, с которы­
ми непосредственно ведется работа: Condition Туре - вид крите­
рия, Condition Value - значение критерия. В окне Query Preview
показан предварительный просмотр запроса.
Работа в диалоге Builder ведется следующим образом:
1. По rиперссылке Add anothcr condition добавляется новый
критерий;
2. В столбце Condition Value выбирается значение критерия из
выпадающего списка предлагаемых значений;
3. Выбирается оператор OR или AND*, для объединения кри­
териев (OR - объединение критериев, т. е. для итогового ре­
зультата должен быть выполнен хотя бы один из критериев,
AND - сложение критериев - для итогового результата
должны быть выполнены оба критерия)
В примере, показанном на рисунке 6.5, задан запрос для поис­
ка всех контактных площадок (lsPad) и переходных отверстий (ls­
Via), относящихся к цепи -12 волы (lnNet('-12V').
При включении опции Create Expression, которая встречается
во всех подобных диалогах, будет сформирован текст запроса, ко­
торый будет добавлен в панель Filter и сохранен в истории.
При вызове окна He\per (рис. 6.6), предлагается сформировать
запрос, используя команды, которые сгруппированы в окне Cate­
gories.
Для редактора плат имеются три большие группы:
• РСВ Function - параметры и критерии объектов платы;
• РСВ Object Lists - список объектов на плате;
• System Function - математические функции.
Наибольший интерес здесь представляет первая группа, т. к. с
помощью ее параметров можно задавать сложные многоуровневые
запросы. В группе РСВ Function имеются следующие подразделы:
• Object Туре Chccks - здесь задается принадлежность к объ­
ектам заданного типа (например, указав lsDifferentia\Pair, за­
даем принадлежность описываемых объектов к дифференци­
альным парам);
• Fields - поля, которые подробно описывают параметры объ­
ектов (внешние размеры, шрифты, и т. д.);
• Membership Checks - указывает принадлежность к конкрет­
ной группе объектов (например, lnDitТerentia\Pair ('LVDS 1 ',
397
---
Глава 6. Для профессионалов
I:,De:,1qмtor And fl\otat1on • • Z70, ООО')
I
Gr][:J��(:J
Cogo,,o,
13 •■:
OЬioafll'OOwiclu
FIOl:I,
М...Ь.,11-ф CNck,
дlЬЫеСhесkа
1..,0-..cks
,
N"""
l&Cщ,o,Aogian
IJCIJ<>.IR'90"
Ь0oluli)rnenoion
1.Ощ,wо,
Oe,щ,lion
lalheot,joct•C-Aegion
l1U.ot,joctoW<цRegoon
la lhed,je<t •Оом, Diмtmon
1, lhe oЬiott • Carooner1 DЩ1>0lc,
11 h oЬioct о Dinenoion
I.Omenaion
l1hoЬioct•Eni>edd■dB.,_.,1>,,oy
lrf.�oood
11 lhe оЬ,,сl • f1
ltfl
la lhe оЬ�ес1 • FICJ!>Tо
llfн,mT о
lilиdelOomenoion
lt lhe oЬioct o Leeclor Drnemicn
W...,11D111metet'......_ 11 lhe ot,,ect • Li-,oo, Oio,r,et,,. D""""'""'
la lhe oЬiect • t.r...r Dmemion
ls!aorOinenoion
l11hecl>!Otl0Net
llllet
'LVDS2'), определяет поиск конкретно двух дифференuиаль­
ных пар LVDSl и LVDS2);
• Attribute Checks - указывает атрибуты объекта (тип корnуса,
число выводов, длина дорожки и т. д.);
• Layer Checks - задает принап.лежность к конкретному слою.
При формировании запроса стоит обращать внимание на опе­
раторы, которые могут объединять или исключать выбранные
критерии (операторы перечислены под окном запроса). Все опера­
торы должны отделяться от команд пробелами!
Наиболее часто используемые операторы '"'' и '?', первый заме­
няет произвольное количество символов, второй заменяет один
символ. Так при запросе (lnComponent('R "' '), в документе будут
найдены все резисторы.
Если при состаалении запроса возникает трудность в интерпре­
тации команды, рекомендуется выделить команду в списке и на­
жать клавишу Fl, в результате чего будет показана справка по
синтаксису указанной команды.
Более подробно работа с запросами описана в документах тех­
нической документации Altiurn AR0l09, А0129 и TR0l 10. Доку­
мент TR0ll0 содержит полное описание синтаксиса всех команд
языка запросов, перечисленных в диалоге Query Helper.
398
б.2. Команды меню редактора плат
б.2. Команды меню редактора плат
Редактор печатных плат программы AJtium Designer является
наиболее объемным из остальных, поэтому содержит в себе боль­
шинство команд, применяемых в редакторах схем, библиотек и др.
В предыдущих главах были описаны инструменты и команды, ко­
торые используются при разработке библиотек, схем и плат. Здесь
будут описаны все команды редактора плат, некоторые из которых
находят применение у пользователей программы.
6.2. 1. Команды меню File
Название
[ill New
[@ Open
Клавиши
Описание
j Создать нового докумекта или проекта
Ctr1+0
lmport
Открыть существующий докумект
Импорт файлов сторонних программ. Предлагается
выбор: Specctra (*.rte), AutoCAD (* .dxf, *.dwg), P-CAD PDIF
Close
Open Project
Ctrl+F4
Open Design
Q Save (Save As,
Save Сору As, Save AII)
Закрыть активный документ
Открыть существующий проект
Открыть группу проекrов
Workspace
1 I
(*.pdf), IDF (*.brd, *.pro), GertJer
Ctrl+S
Save Project А5
Сохранить активный документ (Сохранить как, Сохранить
как копию, Сохранкть все открытые документы)
Сохранить проект под новым именем
Save Design
Workspace А5
Сохранитъ группу проектов под новым именем
Assembly Outputs
1 Формирования документации для сборки
FaЬrication Outputs
Формирование файлов для производства
Page Setup
1 �1
1 I
Print Preview
cii Print
Default Prints
Настройки лмста
'
Предварительный просмотр
Ctrl+P
Печать. Печать документов удобнее выnолнsпь через
файл OutputJoЬ (см.гл. 4.14.3.)
Выбор документов, выводимых на печать
399
-
Глава 6. Для профессионалов
--
�-..z
Название
,_____
·-
� SmartPOF
Клавиши
--
--- ..
-
lmport Wizard
Список последних редактируемых документов
'
Список последних рабочих групп
!
--
-·
-- -�-
Выход
из системы DXP
�.:..а. .• •
-
6.2.2. Команды меню Edit
- ..... _._
: Клавиши
Название
- .,_.
'
i
Undo
1
[fl Redo
OOcut
--
Paste Special
j�
F :8 lllt<...-a
c:t,,,r,.�'=""""
Q_,..,_,_...
.
OU4-=-�-
г,.;;;,r-...
Select
Deselect
400
__J
--=-=,
i:
\,
t
t
Копировать объект в буфер обмена
1
1
i ВставlПЬ объект из буфера обмена с заданными свойствами:
i
.
i Paste on current layer - вставкть на текущии слои;
i Кеер пеt паrпе - защитить имена цепей;
1 Duplicate designator - дублировать имена компонентов;
h
1 Add to component class - добавить компонент в класс, к 1<оторому
f
1 принадлежит базовый компонент.
1 Paste Алау - вставка объекта из буфера обмена в виде массива
.
!
Со.с-•�
�-, -
f
Возврат последнего отмененного действия
Вставить объект из буфера обмена
Cll1+C
1
Отмена последнего выrюлненноrо действия
Cll1+V
- 1
т:,,
Ctr1+Y
Описание
1
t
. ,.
Вырезать объект в буфер обмена
'
Paste
Ctrl+Z
-
! Ctr1+X
� Сору
\ LC I
r------ -
-
Формирование комплекта документации в едином
РDF-файле. Также как и печать, pdf удобнее формировать !
из 4>?йла Outpu\-!OIJ·
Список последних �роектов, с которыми
Recent Design
�l
--�-- - .. ..__"<><.•
--...J
Recent Pr9jects
Exit
_.__..__._ - .
--·-
•
Описание
---
Импорт проектов, выполненных в сторонних САПР ЭС
(Р-САО, Allegro, Pads, DxDesigner, Cзdstar, Protel и др.)
Recent Documents
Workspace
- ...,,��-•
s
-�·
х
Выбор режима выделения объектов, наиболеечасто
востребованы:
lnside kea - выбор объектов, попадающих в рамку
(по умолчанию);
Touching Uпе - выбор объектов, пересекаемых
линией выделения.
-Выбор режима снятия выделения.
-
.-.
i
. ..._.,,_.....,,,......J
6.2. Команды меню редактора плат
--..=.. -...-.,.::-�.,......-=---..=--- ......... _.. ---�-.:::.,,:4��__,.. __,..... ...,,.... ..-,�---�----=-----------��1
·:
1 Delete
�:
Название
Описание
Клавиши I
I Удалить выбранный объект. данную операцию не
Del
рекомендуется применять к то nоJЮГии.
!
1111
1
1
Вставка
одинаковых
копий
объекта.
Удобно
1:
i
· использовать в случае, описанном в гл. 4.1 О, при
Ctrl+R копировании участка топологии. После выделения
� RubЬer Stamp
тоrюлоrии выполняем команду Ctrl+R и выrюлняем
1
вставку топо11огии необходимое количество раз.
1
11
I Изменение свойств объекта. Аналогично двойному
\ Chan ge
жати_ю_л_евой_ кн
_ _ и _ н_а_граф-'--' -ик_ е_о_б_ъе_кт_а._-11
_ _о n_ к_и _ м_ыw
__
(.___________..____1--на
a
e
pe
б
или
S
трасс.
трассы
i
ниe
группы
\.,.. l_ c_ _T_r c_k_____-+------+-O зa
Перемещение объекта. Данная команда похожа на
;
� M ove
М
обычное перемещение объектов, но имеет
.!...._____________не_ 1'___
отор
� ы_ е_ _п�ре
__и_м_у___
щест_ва_.________-'1ь1
Выравнивание выделенных объектов. Стандартный
набор команд для выравнивания rю левому,
А
Alig n
правому, верхнему, нижнему краю, выравнивания по
!
1
__ .d)� т. д.
_ �(Al�ig_, n _T_o_Gn
-----+----·,_ce_ткe
Настройка точки начала координат. Команда Se t
позволяет установить начало координат в любую
l Origin
точку на плате, Reset - вернуrь начало координат в \
левый нижний угол рабочего поля.
1 Переход к объекту. Наиболее востребованы
1
подкоманды:
1
J
Ju mp
I New location - перейти в точку с координатами;
1 Componeпt - перейти к комооненту.
' Сохранение выделения в память, для последующего 1
Selection Me mory
обращения к выбранной
----------+----+--�--'-----�
__rpynпe.
.,__,__________!
!�
!1_
j
Г--
!i ,.._,_,_
i
1 1
i0
S ift+B
Залуск диалога конструктора запросов
h
✓ Build Query
-+---------------- Shift+F Залуск диалога поиска подобных объектов
l ar OЬj ts
})
i -----=-------------.--.--------�------
e
Rnd Simi
�----..-..
.-:=------=·c -- �-�. ---
Rt Вoard
I
'
.
V_>__
F _,._1 П_ок_ азать �К?_,!l��ту_ __ _
1
Показать выбранный участок
J..
14 эак.32
i
--j
!
-�--��----
401
Глава 6. Для профессионалов
Around Point
1 �1
1 �1
ПОкаэаn, участок вокруг точки
Selected OЬjects
Масuлаб no выбранным обьеl(Т3М
Filtered OЬjects
Масштаб по отфнльтрова�шым объектам
Zoomln
ZoomOut
Zoom Last
Pan
Home
Aip Board
Увеличиn, масштаб
УменЫ1Jи1Ь масшr.�б
Вернуть nредщущий мacwrnб
Сфокусировать изображе11ие относителЬliо курсора
Перевернуть nла1)' на 180 rрадусов. Позволяет
обрабатывап, надписи на нижней стороне паты
Refresh
Switch То ЗD (2D)
Full Screen
End
3(2)
Alt+F5
lncrease Мask Level
]
Увеличить коэффициент маскировки
Decrease Мask Le.-el
1
Уменьшить коэффициент маскировки
Workspace Panels
Desktop Layouts
Настройки рабочего стола (имеется в виду набор
и порядок расположения панелей). Можно сохраниn,
или заrрузмть рабочий стол.
Кеу Mappings
Комбинации горячих клавмw.
Default - сrс�ндартные комбинации;
Р-00 - комбинации как в Р-00.
Devices Vif!N
Просмотр эаrруэки npoem в ПЛИС на NanoВoard
Home
Переход на домашнюю страниц у Altium
Status ваr
Строк.а C'Гcll)'Ca - рекомендуется не выклlОЧЗ'IЪ
Command Stзtus
Строка команд - не используется
Настройки просмотра платы (лупа, однослойный
режим и т. д.)
Включение/Выключение линий соединений
Вoard lnsight
Connections
Grids
G
Настройки сеток
Тoggle Units
Q
Переключение системы координат мм/мил
402
1
Обновкть графику (Перерисовап,)
Переключение трехмерного и двумерного режимов
На весь экран
Достуnные панели инструментов. Можно таюке
нажать правой клавишей мыши на любой иэ
активных панелей ИНСТJ)Уl,lеЖОВ.
ДОС1уПные панели. Можно также запускать панель
по кнопкам в нижнем левом углу редактора.
ToolЬars
1
1
6.2. Команоы меню µttua1<111u,-,a .,,.w ...
6.2.4. Команды меню Project
[!] Compile Document...
.
� Соmpi"fePr01есt...
Cross РrоЬе Next Message
Cross РrоЬе Previous Мessage
Design Worl<space
hJd New to Project
ic3 1 Мd Exist to Project
1!?'! Remove from Project
1
Project Documents
Close Project Documents
CJose Project
� Show Differences
� Show Physical Differences
[ ф j View Channels
18 1 Components Unks
AssemЬ/y Variants
11:fi \
1
Version Control
\fJ I Local History
1�\
1�1
Комnитщия аmвноrо nроекта (см rл.3.8.2)
Переход к предыдущему/ посл едующему сообщению
Выбор действия к группе проектов
ДОбавитъ новый документ к текущему npoooy
Добавить ранее созданный документ к текущему проекту
Удалить аm�вный документ из текущего проекта. Эта
команда не закрывает документ. До«умент, удаленный из
струкtуры проекта перемещается в папку Free Documents
Открыть документы текущего проекта
Закрыть документы проекта. При эrой команде
документЪ1 не удаляются из стру�ауры проекта
Закрыть проект
Показа1Ь отличия межщ двумя документами иnи отличия
в схеме и мате
Вывод перечня отличий
Просмо-rр каналов
Связанность компонетов на схеме и плате
Управление вариантами сборки
Контроль версий. Группа команд для управления
проектом при работе с общим хранилищем.
Управление локальной историей
Project P'dCkage
Архивация проекта
FPul\ Workspace Мар
Просмотр связанности проекта
Releases
/ ts'J I Project Options
14*
Компиляция активного докумекта
Нумерация версий
Оnции проекта (см гл.3.8.1)
i
403
Глава б. Для профессионалов
6.2.5. Команды меню Design
Update Schemalic in...
lmport Changes From...
Rules
RuleWizard
ВoardShape
• Redefine Вoard Shape
• Move Вoard Vertices
• Move Board Shape
• Define from Selected OЬjects
• Define from 3D Воdу
• Create Primitives...
• Deline Вoard Cutout
Netlist
• Edit Nets
• Create Netlist From Connected
L.ayer Stack Maлager
Board L.ayers & Colors (L)
Малаgе L.ayer Sets
Rooms
Classes
Brcюse Components
Add/remove UЬrary
Маkе РСВ Ubrary
Make lntegrated Ubrary
Вoard Options
404
Внесение изменений из платы в схему
Внесение изменений-из cxet.tЫ в nnaтy
Настройка правил nроооирования (см r.n. 4.6)
Мастер создания правмл проектмрования.
Группа команд дnя работы с контуром платы (см гл. 4.3.1 ):
• Сформировать коктур платы
• Редактмровать КОН1УР
• Переместить коктур
• Создать Ко+п)'Р из выбранных объеuов
• Создать КОН1'JР из 3D модели
• Создать примипtвы из контура
• Создать вырез в плате
Группа команд оо работе со списком соединений, наиболее
востребованы здесь:
• Управление цепями и классами ц епей
• Формирование электрических связей по металлизации
(Позаоляет создать соединения, после создания
топологии!)
Управление порядком расположения слоев (см гл. 4.3.2)
Настройки видимости слоев (см гл. 4.2.2)
Создание групп слоев, дnя удобства управления
отображением слоев
Комнаты. Соэдание, удаление, управление комнатами.
Классы. Управление классами цеnей, компонентов и др.
Объектов. (см гл. 4.8)
Запуск панели UЬraries
ДОбавление/удаление библиотек
Создать библиотеку лосадочных мест_
Создать иtпеrрированную библиотеку по комnонекrам на
плате
Локальные НаС'JРОЙКИ актмвной маты (см гл. 4.2.2)
6.2. Команды меню редактора плат
6.2.6. Команды меню Tools
Design Rule Check
Проверка правил nроеm1рования. Настройка правил
проверяемых в онлайн режиме и при запуске (см гл. 4.11 ).
Reset Error Markers
Сброс меток ошибок
Browse Vюlations ( Shift+V)
Просмотр списка нарушений под К'/РСО!JОМ. При
наведении на нарушение (зеленый участок на плате)
выполним Shift+V
1 Browse Objects ( Shift+Х)
Просмотр списка объектов rюд курсором. При наведении
на объект, выполним Shift+X)
Manage 3D Вodies for...
Управление настройками отображения 1рехмерных
моделей компонентов
Po/ygon Pours
Группа команд по управлению полигонами
Split Planes
Перезаливка разделенных экранов
3D Воdу Placement
• дdd Snap Point ...
• Remove Snap Point...
• Orient And Position ...
• Position 3D Воdу
• Set Body Heght
'
• Measure Distances
1 • Align Face Wrth Вoard
Move Тexture L.ocation
1.
Группа команд по размещению компонентов, из которых
наиболее востребованы следующие (см гл. 4.7):
• Разместить компоненты в комнату
• Разместить комnонектъа в ЭЭДрНнуIО область
• Разместить компоненты в контуре маты
• Разместить выбранные компоненты поuлучно
Группа команд по управлению 3D моделями, доступны
только в 3D режиме (см гл. 4.12):
• ДОбавиn, точку привязки
• УдалИТh точку привязки
• Задать положение модели по трем точкам
• Задать положение модели по одной rочке
• Задать высоту распооожение модели над платой
• Измерение размеров
• Выравнивание no плоскости маты
• Изменение текстуры модели
Un-Route (U)
Удаление топологии (AII - для всех цепей, Net - дnя одной
цепи, которую далее нужно указать)
1
1 Component Placement (1)
• Arrange Within Room
• Arrange Wllhin Rectangle
• Arrange Outside Вoard
• Reposition Selected Components
т плотности цепей
Кара
Переномерация компонентов
Density Мар
Re-Annotate
Signal lntegriy
Анализ целостности сигналов
. Update From РСВ Ubraries
Синхронизация посадочных мест компонентов на плате
с посадочными местами в библиотеке
FPGA Signal мanager
Управление сигналами ПЛИС
t
405
Глава 6. Для профессионалов
PinjPart Swapping
• Automatic Netft>in Optimizer
. • PinjNet Swapping
• Part Swapping
• Diff-Pair Swapping
• Configure
Cross РrоЬе
Cross Select Mode
Convert
Teardrops
Equalize Net Lencrths
lnteractive Length Tuning
lnteractive Diff Pair /J!ooth ..•
Outline Selected OЬiects
layers Stackup Legend
Rnd and Set Тestpoints
Clear AII Тestpoints
Preferences
Legacy тools
.
Оnтимизацмя цепей за счет nересmноеки выводов и ячеек
внутри комnонентов (см гл. 4.9.1 ):
• Автоматическая оптимизация цепей
• Перестановка эквивалентных выводов
• Перестановка эквивалентных ячеек
• Перестановка эквивалентных дифференциальных пар
• Настройl<И эквиваленmостм для компонентов платы
Поиск компонента на схеме по плате (или наоборот)
Режим nepeicpecrnoro выделения. При включении данной
опции в редакторах схем и мат, выделение действует
одновременно на два=--•� (см гл. 4.7)
Гоvппа команд по п
ванию примитивов.
Формирование каплевидности дпя конmктных площадок
Наетоойки меаtШDа для выравнивания дпины пооводников
Выравнивание дпины проводника. Проводник должен быть
проложен заранее.
Выравнивание дпины nиdхЬеренциальной пары
Добавление коктура для выбранного у,�астка топологии
Добавление леrеt1ДЫ о слоях платы
Поиск и vстановка тестовых точек
Удаление всех тестовых точек
Глобальные настройки редактора мат, соответствует
DXP>Pref>PCB (см гл. 4.2.1)
Группа команд из предыдущих версий программы.
Команды в данной группе ft./дyr удалены в следующем
релизе.
6.2. 7. Команды меню Autoroute
В данном меню находятся команды автоматической трассиров
:ки, а также настройки и команды управления процессом автотрас
сировки.
AII
Запуск автоматической трассировки.
lт
Net
Net Classes
Connection
Room
406
Трассировка всех цепей внутри комнаты
6.2. Команды меню редактора плат
ного компонента
Connections Оп Selected Com
и выбранного компонента
Трассировха цепей между выбранными компонентами
Setu
Stop
Reset
Pause
Остановка автоматической трассмровки
Сб
Пауза
6.2.8. Команды меню Reports
В меню Reports сгруппированы команды ,позволяющие полу­
чить разного рода отчеты о разработанной плате, а также выпол­
нить измерения между примитивами на разных слоях.
Воагd lnformation
-• i;:;;;...;;..c_J
• ...-.:.;.-1.....___
Общая СТ31'МСТИЧОО(ЗЯ информация о плате.
Размеры палы, количество ОtверС'ТМЙ, контактных
площадок, нарушений, и примитивов разноrо типа.
На В1U1ЭДJ(ЗХ Components и Nets перечислены все
компоненты и цепи платы.
По к нопке Report можно сохранить отчет в текстовом
виде.
ВiU of Мaterials
Формирование заготовки для спецификации и перечня
элементов (см гл. 3.14.1)
Project Reports
Отчеты о проекте
Netlist Status
Формирование списка соединений
МeamJre Distance (Ctr1+M)
Измерение расстояния (линейка)
Мeasure Primilives
Измерение зазора ме:#Д1/ /JIJYМP. выбранными
примктмвами
Meawre Selected OЬjects
Измерение длины выбранного объекта
407
Глава 6. Для профессионалов
6.2.9. Команды меню Window
Команды меню Window предназначены для управления отобра­
жения окон различных документов. Все команды данного меню
доступны в контекстном меню, которое появляется при нажатии
правой клавиши мыши на вкладке документа.
---
Тile
Показать все открЫТЬlе документы в виде мозаики
Тhе Vertically
Показать все открьm,,е документы в вертикальном виде
Показать все открытые документы в горизонтальном виде
Тhе Нorizontalty
Unhide
Hide AJI
i
Close Documents
!
-
l
!'
Список скрытЪ1Х документов
Скрьrrь все документы
Закрыть активный документ
Зак� все до�енты
_
CloseAJI
-
6.2. 1 О. Команды меню Help
1
-=��nter
Тhе Altium Wiki
User Forum
HelpOn
Gettiпg Started
AЬout
Запуск справочной системы Altium, ко�орая rюзволяет
вести поиск no ключевым словам в документации или
на сайте Wiki.
F11
Переход к сайту справочной службы Altium Wiki
1
Переход к форуму пользователей Al\ium Designer
(англ. яз.)
�
Справка no отдельным темам
Ознакомительные статьи по разным этапам
проектирования в Altium
i
1
О программе
6.3. Управление панелями инструментов
Altium Designer
Как было сказано ранее, работа в каждом редакторе ведется с
помощью панелей, которые можно произвольно размешать в ра­
бочей области (см гл. 1.3). Аналогично можно управлять положе­
нием панелей инструментов, которые могут быть сконфигуриро­
ваны удобным для пользователя образом.
Например, редактор схем содержит 6 панелей, которые показа­
ны на рис. 6.8, причем в большинстве случаев для работы со схе­
мами не используются панели Navigation и Formatting. Эти панели
408
6.3. Управление панелями инструментов Altlum Designer
+ : X"I ") �&t...L!U
.,
�J-i: Slondord
.,
�Sr'I
"
fomlolt,,g
.,
l.t-
" wmo
., �
..
... :. ..__
�
_________________
.. .
..
... .. ....
. . . ..
..
. .
Рис. 6.8. ПанеАи редактора схем Altium Designer
можно смело выключить, после чего в верхней части интерфейса
расположить оставшиеся панели в две строки (см. рис. 6.9). После
расположения панелей удобным для пользователя образом стоит
убедиться, что включена опш1я DXP> Preferences>System>Yiew
Autosave Desktop, которая автоматически сохраняет расположение
панелей.
■oirf" ��-"""Qolu'l,ol,t-t, .... ь,,,
:J
"W :J jj• ..JI
� :i, •
1
1
·3·�•1i·
i, j'I !' ,t
l
",,j" lijl[IAL'ulrdAdlt.... ,�(8'0$0-(«
Рис. 6.9. РасnоАожение панелей инструментов редактора схем
t
Большинство пользователей, переходящих с программы P-CAD
отмечают не совсем �удобное•> (а точнее, не совсем привычное),
расположе�ие базовых графических команд. Так для рисования
линии надо выполнить не менее двух нажатий клавиши только
для выбора команды. Данное неудобство можно исправить созда­
нием пользовательской панели инструментов, в которую будут
включены только необходимые и-нструменты. При этом в пользо­
вательской панели можно объединить весь используемый инстру­
ментарий, тогда панели Utilities, Wiring, Mixed Sim можно скрыть.
Кроме того, панель инструментов можно расположить вертикаль­
но, как в P-CAD, после чего не будет возникать никакого неудоб­
ства при переходе.
Рассмотрим пример по созданию пользовательской панели ин­
струментов и оптимизаuии интерфейса редактора схем. Чтобы со­
здать новую панель, выполняем DXP>Customize, после чего на эк­
ране появится окно, показанное на рисунке 6.10.
409
Глава 6. Для профессионалов
-------�--------------
f ,,.tnmi7int S< 11 1 dilor
Conwnonds I т....... 1
а
Ве, 1о - 0t Moin 1,1.,..
1schemo6c "'""'
в...
Name
Schernolic 1,1.,.,
Sd\erмlit Shoclcщ
Sci,em,,ьc Stor,d,,,d
M"8!1S111
Famol�
U11Ьm
w-,;,g
N...,;g.iion
scн,,..._shollcw
ii�•riilma
1
------(lli)
]8,,,f:;i,e
l,len,
Sl-ott:ul ТоЫе
Toct>o,
Toot,.,
Toot,.,
Tcd:w
foot,.,
ТооЬоr
Sharta.Н-
jтoot,.,
;1,дам,1
�
eJ
eJ
eJ
о
eJ
�
о
eJ
�
1 Now 11 D�• 1,R.....,,., . 11 Dolele 11 l'e-do,e 1
1 а..е 1
Рис. 6.10. Добавление новой пользовательской панели
Здесь на вкладке Commands перечислены все команды, а на
вкладке Toolbars - панели инструментов редактора схем. Для до­
бамения новой панели нажимаем кнопку Add на вкладке Tool­
bars, после чего ставим флаг в графе 1s Active, для отображения
панели и переименовываем панель (для :,того выделяем ее в спис­
ке и нажимаем клавишу F2).
Новая панель создана и показана на экране, но пока она не со­
держит инструментов. Для добавления инструментов в панель ис­
пользуется вкладка Commands. Здесь в левом списке перечислены
группы (Categories) команд, а при выборе группы, в правом списке
отображаются команды данной группы.
В группе Place находим команду Wire и, захватив ее левой кла­
вишей, перетаскиваем (Drag&Drop) в пользовательскую панель
(рис. 6.11).
Такая команда уже имеется в панели Wiring, но· данное дейст­
вие сделано умышленно, чтобы в одной панели «PCAD instru­
ments» объединить все используемые команды, в том же порядке
как в привычной программе. После добамения нужных команд из
группы Place, добавим графические команды, которые находятся в
группе Utilities (рис. 6.12).
Аналогичным образом можно выполнить переконфиrурацию
всех панелей, во всех редакторах. Стоит помнить, что положение
410
6.3. Управление панелями инструментов Altium Designer
. ..,,
onmancls
Notei
Oplou
Olh,i
p..,дclio,v
- � Bus
L-вusJora
L f-_BuaE1111y
PatAcaont
Pod uou Releionce
P,qect
PlqectPonel
Roclll
\_�p..t__
1,4..-...,IJ\IIC!
Roc:1111'\,o
Recenl Oe,ign Woriщ,,ce,
Rea:ri Doo<.tne,u
Rec.,-, l'lqed,
R,lacla,
jRe/e,...:.,
Repau
RiJt,t MoшeCicl<
!!ew ·
1 ! .fck.. J I D,pcote 1 1 Q....,,
':._-:_-_-_- ______________....,_
С1аи
о авление инструмента в пользовательскую панель
fltlW.
@
"'
IED8-
ф
..t L,,J.
1
...,.,.,.
SatB�
S\a,e
Sta,e Р\,о
т ещ,1,,1•
Toolw,
Todr
Undem,d(""""'11ant
Urndo
u,....,.
.
1-AT... Stmg
i:J Т..с Frome
QReci,,,ф
! П D�,_.., o..... �,.J ..
Close
Рис. 6.12. Добавление инструмента в пользовательскую панель
панели и набор инструментов, которые в ней содержатся отдель­
ные для каждого редактора, поэтому рекомендуется пройти по
411
Глава 6. Для профессионалов
всем редакторам, выключить не используемые панели и создать
пользовательские, которые будут содержать только используемые
команды. Расположить панель можно в любом месте рабочей об­
ласти обычным перетаскиванием. Для удобства можно располо­
жить панель у крайней левой границы программы.
Altium Designer поддерживает понятие конфигурирования рабо­
чего стола, т. е. включение/выключение необходимых панелей,
работа с отображением окон и т. д. Это свойство позволяет ком­
поновать прикладные окна документов, рабочие панели и панели
инструментов вокруг рабочей области проекта, как это необходи­
мо и затем сохранить эту конфигурацию в файле. Таким образом,
мн ожество пользователей моrут быстро приспосабливать рабочую
область под свою манеру проектирования посредством загрузки в
систему предварительно подготовленных шаблонов (Desktop Layo­
uts, •.TLT).
Команды для сохранения и загрузки шаблонов, содержащие шаб­
лон по умолчанию, доступны из подменю View> Desktop Layouts.
6.4. Использование готовых фрагментов схем
и плат (панель Snippets)
При проектировании печатных плат очень часто складывается
ситуаuия, когда в разработке используется унифиuированный
блок схемы, который был использован в предыдущем проекте.
Обычно это относится к узлам блоков питания и микросхем с эле­
ментами обвязки. Возникает задача использования готового фраг­
мента схемы и при этом хотелось бы использовать готовый фраг­
мент платы с топологией, которая для многовыводных микросхем
с обвязкой цепей питания может быть довольно таки сложной.
Ранее бъшо сказано, что для такой задачи используются много­
канальные проекты, а также исрархичные проекты с одинаковыми
блоками. Однако в большинстве подобных случаев реализовать
многоканальность или иерархичность не представляется возмож­
ным или бывает очень трудоемким. В AJtium Designer имеется спе­
циальная панель Snippets, которая может хранить готовые фраг­
менты схем, топологии и программного кода для описания
ПЛИС. Эти фрагменты можно использовать в последующих раз­
работках, причем если фрагмент схемы соответствует фрагменту
платы, то их можно использовать совместно.
412
6.4. Использование готовых фрагментов схем и плат
Рассмотрим максимально сложную задачу. Имеется готовый
проект, в котором установлена ПЛИС и для нее выполнена раз­
вязка проводников питания и земли с выводом на соответствую­
щие конденсаторы. Стоит задача: использовать данный фрагмент
схема/плата в новой разработке.
Для демонстрации решения данной задачи воспользуемся гото­
вым проектом, находящимся в директории установки Altium под
названием SLI Xilinx Spartan-IIE PQ208 Revl.01.PJjPcb (examp­
les\reference design\SpiritLevel-SLI ). Откроем этот проект и далее
все документы схем и плат. Здесь на верхнем слое расположена
микросхема Ul, под которой расположены 32 конденсатора.
Чтобы использовать фрагмент схемы и готовую топологию на
плате их необходимо добавить в панель Snippets. Сначала выпол­
ним эту задачу для схемы, затем для платы. На схеме микросхема
U 1 и конденсаторы, которые относятся к ее <•обвязке,> расположе­
ны на отдельных листах схемы. Для удобства работы переместим
конденсаторы на тот лист, где расположена ПЛИС. Для этого от­
крываем лист схемы SL_Power.SchDoc, вырезаем все конденсато­
ры в правой части схемы и вставляем их на лист
SL_FPGA_Auto_2E.SchDoc, где расположена ПЛИС.
Теперь можно добавить фрагмент схемы в панель Snippets. Для
этого выделяем участок схемы, который необходимо сохранить в
виде фрагмента, нажимаем на нем правой· клавишей мыши и в
выпадающем меню выбираем Snippets>Create Snippets frorn selected
objects (рис. 6.13).
После этого на экране появится диалог Add New Snippet
(рис. 6.14), в котором нужно указать название нового фрагмента,
подкаталог, в который сохраняется фрагмент и краткое описание.
Учитывая, что данный фрагмент часто будет применяться в да­
льнейших проектах ему стоит добавить такое наименование, что­
бы было очевидно его функuиональное назначение.
Теперь аналогичную процедуру нужно проделать для фрагмента
платы, причем, на готовой плате необходимо сохранить фрагмент
только нужного участка. Опишем подробно эту процедуру. Для
удобства работы выполним несколько подготовительных действий.
Во-первых, удалим полигоны земли, которыми целиком залиты
верхний и нижний слои платы. Во-вторых, отключим видимость
всех механических слоев, т. к. здесь работа будет вестись только с
топологией.
413
Глава 6. Для профессионалов
о
!!_e/erta
�·
�
\tl<)ns
!jrids
�
��р-
1 (Ц
..) '"P'f
� �est•
а,Ж(
OJI><
a,i.v
itlШ!:
о
,,._
-
0
����1
1;Ji:
i��·o
Рис. 6.13. Выбор фрагмента схемы dАя dобавАения в панеАЬ Snippets
s,_. .. do<9i_,....,od.,w.-.
ldde,a. Thoycor,Ьe�•eutednctt-,
dooq,&
д,,......,.,.iмЬellaed"tt.S"""""E ........
У°"'� t:hoose here • ...,,._ 1 c:.crrwne,,t n •
1oc-.1"1mr,i,c,e1
�
Camrno,i.
01(
Concol
4. Добавление фрагмента
414
6.4. Использование готовых фрагментов схем и плат
Так как для дальнейшей работы будет использоваться только
топология uепей земли и питания, удалим топологию остальных
uепей. Сначала надо зафиксировать дорожки и переходные отвер­
стия, относящиеся к нужным uепям. Для этого в панели РСВ вы­
берем класс цепей Power, и клавишей F:l l запустим панель Ins­
pector. В панели Inspector выбираем режим работы с дорожками и
переходными отверстиями (рис. 6.15) и далее включаем опuию
Lock.
Рис. 6,15. БАок.ировк.а орожек. и перех.о ных. отверстий цепей
питания и земАи
Теперь можно смело выполнить команду Tools>UnRoute>All, и
на последующий вопрос о необходимости удаления топологии за­
блокированных цепей - отвечаем, нет!
Теперь можно выделить фрагмент, который будет использован
в дальнейшем. Выделяем участок платы вокруг микросхемы U 1,
так, чтобы в рамку вьшеления попали все необходимые участки
топологии (рис. 6.16). Далее на выделенных объектах следует на­
жать правую клавишу мыши и в выпадающем меню выбрать
команду Snippets>Create Snippets from Selected objects. Здесь про­
uедура аналогична подобной в редакторе схем.
Фрагмент схемы с соответствующим фрагментом теперь могут
быть вставлены в любой проект, но для упрощения добавим дан­
ный участок в новый проект. Командой File>New>Project>PCB
Project создаем новый проект платы, и комаJ:lдОй File>New>Sche­
matic(PCB) создаем новые схему и плату.
Чтобы добавить на схему ранее сохраненный фрагмент, вызы­
ваем панель Snippets, которая расположена в группе System в пра­
вом нижнем угла, где и все остальные панели. В панели Snippets
содержатся все ранее сохраненные фрагменты, которые располо­
жены в трех палках. Выбираем ранее сохраненный фрагмент схе415
Глава 6. Для профессионалов
Рис. 6.16. Блокировка дорожек. и переходных отверстий цепей
питания и земли
мы и кнопкой Placc размещаем его на листе схемы. Аналогичное
действие выполняем фрагментом топологии в плате.
Выполненные действия по вставке фрагментов не обеспечива­
ют uелостность проекта. Т. е., несмотря на то, что позиuионные
обозначения совпадают, в действительности компоненты схемы не
соответствуют посадочным местам на плате. В общем случае,
вставляемый фрагмент мог быть добавлен уже на готовую плату,
тогда номера элементов могли совпасть, и при переномераuи воз­
никла бы полная путаниuа. Поэтому после добавления фрагмен­
тов, необходимо выполнить проuсдуру по согласованию компо­
нентов на схеме и плате, для чего используется команда Pro­
ject>Component Links.
В правом списке появившегося окна (рис. 6.17) содержатся те
компоненты схемы, которые имеют соответствующее исполнение
на плате. В левом списке компоненты схемы, в uентральном компоненты платы. Выбрав модель в левом списке и указав мо­
дель в правом списке, можно нажать кнопку <•>», после чего пара
будет добавлена в правый список. Эту работу можно выполнить
автоматически по кнопке Add Pairs Matched Ву, но при этом стоит
416
б.4. Использование готовых фрагменrr,08
-;�::':.':,•.=:=:::=;:::���;"r:sr:x:,: ""'°'""'Qo1og
схем и плат
S-nPaltOnCOJ..,,..,eWed.п.,ь,,n,,,-�., o,t:,,.,_o.._D.
r...
_,,..,.,,_i,,,1o"!tollottU9'1nO-alO&,..,,.rtwmlhe,фto\olllO.....,.,,_,(,.
(17
С18
t20
Cl1
t22
С23
С:?4
�"5
(26
с;,,е
,.
М•1·
СС2012-ООО!, • !1 1 LI'
tc.'012-0005 О 1LI'
СС2012-ОЮ5-Q ILI'
CQ012-0I05-QILI'
CC21!123IOS- О 1i.f
ctc\J12-CII05-01i.f
СС,.'01 U� -О 1i.f
М(СТ-8 1�
СС2О12{)800 • 0.1.J'
СС.."".112� 01i.f
СС2012«пi -Q1Lf
,..
(24
С25
C2G
t:18
CC21J12-IIIOS-0.1LI'
СС2012-�-0 lil'
(С.'012.(Ю'j 01i.f
ct21!12m:JS-0li.f
ма:т 8-1�
CC2012.(Ю';-01il'
CC2Q12� 01.F
СС2012-(,8:f, • О I iJ'
МСС.Т-8 11.kf
в
быть внимательным. Рядо?),1 с указанной кноrжой наход" с
,,т я параметрм, по которым будет п роводиться согласование:
• Designator - позиuионное обозначение;
• Comment - название компонента;
• Footprint - посадочное место.
В нашем случае можно выполнить автоматическое согл
­
ние по позиционным обозначениям, но в бо,1ьwинстве с асова
лучаев
это делается либо по названию, либо по модели (а еще лучше
ним обоим). После того как все пары добавлены n правый сп по
с
нажимается команда Perfom Update. Теперь в роде бы все хори ока'
ш
о
но если удалить проводник, то обнаружится ОТСУtс nис Л'iН и
сое,
и
т
динени я под ним. Дело в том, что чер ез панель Snippe
ts перелаются только компоненты и элементы тополог ии, а .111нии с я й _
зе
нет оэтому д ля завершения раб ы н уж о з е а ора св е
т т а!\х м выПть обноn,1сние проекта 0 es1
о� gn> РUнd ate.
пол.ни
и РРедзукль
ат I . о о
действия будет добавление uепей на плат у, после чего у l'C
эт ог ­
, ех дор
жек появятся подписи с н_азваниями принад.r1ежащи цепей.
Описанный выше прием может быть использов х
ан и внутр
ной платы, rде имеются одинаковые . участки. Чrобы их не и од
шать и трассировать по отдельности, можно вы11олнить раборазме
ту над
417
Глава 6. Для профессионалов
одним фраrментом, после чего скопировать его в панель Snippets.
Далее удалить с платы компоненты, относящиеся к повторяю­
iцимся участкам и добавить их снова из панели Snippets. После
чего выполнить согласования проекта. Если во время работы будет
случайно удален или добавлен лишний компонент, то во время
соrласования программа обнаружит несоответствие платы схеме и
предложит удалить лишнее, или добавить недостающее.
418
Список литературы
l. Altium Designer Schematic Capture and РСВ Editing training,
2006. - Altium Limited. - 248 с.
2. Getting started with Altium Designer, version 1.0, 29 apr. 2005. Altium Limited. - 194 с.
3. Пранович В. Статьи о работе с программой Altium Designer
(Protel). «Компоненты в электронной промышленностю> №5, 6,
2006, №6-8, 2007, №3-8, 2008.
4. Потапов Ю. В. Система проектирования печатных плат Pro­
tel. - М.: Горячая линия - Телеком, 2003. - 704 с.
5. Потапов Ю. В. Protel DXP - М.: Горячая линия - Телеком,
2006. - 276 с.
6. Сабунин А. Е. Статьи о работе с программой Altium Designer
(Protel). «Современная электроника>> №6, 2007, №5-9, 2008,
№l-4, 2009.
7. Сабунин А. Е. Статьи о работе с программой Altium Designer
(Protel). «EDA-Express» №13-17, 2006-2008 rт.
8. Саврушев Э. Ц. P-CAD 2006. Руководство схемотехника, адми­
нистратора библиотек, конструктора. - М.: ООО <,Би­
ном-Пресс», 2007. - 768 с.
9. Севастъянинов С. «Варианты отображения многовыводных
ИМС в проектах P-CAD 200*>>. <<EDA-Express•> №16, 2007.
10. Суходолъский В. Ю. Сквозное проектирование функциональ­
ных узлов РЭС на печатных платах в САПР Altium Designer 6.
Часть 1.: Учебное пособие. СПб.: Изд-во СПбГЭТУ <<ЛЭТИ•>,
2008. - 148 с.
11. Татаринов В. Д. Моделирование принципиальных электриче­
ских схем в P-CAD 2004 средствами Altium Designer 2004.
«EDA-Express» №14, 2006.
12. Уваров А. С. PCAD 2002 и Specctra. Разработка печатных
плат. - 2-е изд., испр. и доп. - М.: СОЛОН:Пресс, 2005. 544 с.
13. Разевиг В. Д. Схемотехническое моделирование с помощью
Micro-Cap 7. - М.: Горячая линия - Телеком, 2003. - 368 с.
14. Хайнеман Р. PSPICE. Моделирование работы электронных
схем: Пер. с нем. - М.: ДМК Пресс, 2005. - 336 с.
419
Документация по Altium Designer
l. AR0126 Internal Power and Split Planes
2. АРО135 Interactive and Differential Pair Routing
3. TR0104 Altium Designer Panels Reference
4. TR0I 10 Query Language Reference
5. TR0111 Schematic Editor and Object Reference
6. TR0l 12 РСВ Editor and Object Reference
7. TR0l 13 Simulation Modets and Analyses Reference
8. TR0I 16 Design Rules Reference
9. TU0103 Creating Library Components
10. TU0l 11 Buitding an Integrated Library
11. TU0l 1 4 Working with а Version Control System
12. TU0l 15 Editing Multiple Objects
13. TU0132 Integrating MCAD Objects and РСВ Designs
420
Содержание
Введение .•.......•.•.......................•........•.......•...•.............•..•..............3
Глава 1. Знакомство с платформой Altium Designer .........................9
1.1. Системные требования и установка программы ..................9
1.1.l. Установка одиночной лицензии .................................11
l .1.2. Установка Шiавающей лицензии.................................12
1.2. Интерфейс пользователя ....................................................... 15
1.3. Управление панелями............................................................17
1.4. Проект - как основа разработки .........................................24
1.4.1. Типы проектов в Altium Designer................................24
1.4.2. Панель Projects..............................................................27
1.4.З. Создание проекта.
Управление документами в проекте ...........................29
1.5. Базовые элементы работы в среде Altium Designe..............31
1.5.1. Навигация по документам проекта
и масштабирование ......................................................31
1.5.2. Работа с двумя мониторами ........................................37
1.5.3. Общие графические команды .....................................38
1.5.4. Редактирование свойств графических объсктов ........42
1.6. Системные настройки (System) ............................................43
1.7. Информационная поддержка Altium Designer ....................50
Глава 2;. Разработка библиотек и моделей компонентов ................. 53
2.1. Концепция библиотек Altium Designer ................................53
2.1.1. Основные термины и определения .............................54
2.1.2. Типы библиотек ...........................................................57
2.2. Создание библиотеки символов ...........................................58
2.2.1. Начальные настройки рабочей области .....................59
2.2.2. Алгоритм создания условно-графического
обозначения (УГО) .......................................................60
2.2.3. Многосекционные компоненты ..................................65
2.2.4. Проверка и верификация библиотеки символов ...... 72
2.3. Создание библиотеки посадочных мест ..............................74
2.3.1. Начальные настройки рабочей области .....................75
2.3.2. Алгоритм создания посадочного места (footprint) .....76
2.3.3. Подключение 3D моделей в формате STEP ..............81
2.3.4. Проверка и верификация библиотеки
посадочных мест ...........................................................83
421
Содержание
2.4. Редактирование библиотек (List, Jnspcctor и т. д.) .............84
2.5. Создание интегрированной библиотеки ..............................89
2.5.1. Особенности использования
интегрированных библиотек .......................................89
2.5.2. Подключение моделей .................................................91
2.5.3. Компиляция и верификация
интегрированной библиотеки ..................................... 96
2.6. Создание библиотеки на основе базы данных ....................97
· Глава 3. Разработка электрнчесюtх принципиальных схем ........... 102
3.1. Настройка редактора схем...................................................103
3.1.l. Настройки текущего листа схемы ............................. 103
3.1.2. Глобальные настройки редактора .............................104
3.2. Создание и подключение форматки ...... ,...........................114
3.3. Поиск компонентов, подключение библиотек .................117
3.4. Инструменты создания схемы ............................................121
3.5. Горячие клавиши, используемые в редакторе схем ..........126
3.6. Синхронизаuия схемы с библиотеками компонентов......128
3.7. Автоматическая переномераuия компонентов схемы ...... JЗО
3.8. Проверка схемы и исправление ошибок ...........................131
3.8.1. Настройка опций проекта .........................................132
3.8.2. Компиляция и верификация проекта.......................143
3.9. Редактирование схем (lnspector, List и'др.) ....................... 144
3.10. Мноrолистовые и многоканальные проекты...................153
3.10.1. Реализация связанности
на разных уровнях иерархии ...................................153
3.10.2. Создание мноrолистовых проектов без иерархии .157
3.10.3. Создание иерархических nроектов ..........................159
3.10.4. Создание многоканальности в проекте ..................164
3.11. Навигация в многолистовом проекте ............................... 165
3.12. Создание дифференциальных пар
и правил проектирования ...................................................170
3.13. Особенности импорта и экспорта схем ...........................172
3.13.1. Импорт/Экспорт в формат AutoCAD .....................173
3.13.2. Импорт/Экспорт схем из P-CAD200X.................... l75
3.14. Дополнительные возможности редактора схем ...............177
3.15. Получение выходной документации ................................180
3.15. l. Отчет Bill Of Materials - заготовка для перечня
и спецификации .......................................................180
3.15.2. Печать схемы ............................................................182
422
Содержание
Глава 4. Разработка печатных плат ............................................. 183
4.1. Создание файла платы......................................................... 183
4.2. Настройка редактора плат...................................................186
4.2.1. Глобальные настройки редактора плат.................... .186
4.2.2. Настройки отображения ............................................193
4.2.3. Управление слоями ....................................................195
4.3. Разработка конструктивных параметров печатной платы199
4.3.1. Импорт контура платы в форматах DWG и STEP "200
4.3.2. Управление порядком расположения слоев.............206
4.3.3. Крепежные отверстия и зоны запрета
для трассировки ..........................................................209
4.4. Синхронизация схемы и платы ..........................................211
4.5. Панель РСВ ..........................................................................213
4.6. Установка правил проектирования ....................................217
4.6.1. Описание правил проектирования ...........................218
4.6.2: Алгоритм создания правил ...............-.........................230
4.6.3. Использование мастера запросов
для создания правил...................................................233
4.6.4. Сохранение и загрузка правил ..................................238
4.7. Размещение компонентов ...................................................240
4.8. Создание классов цепей и компонентов ...........................248
4.9. Трассировка проводников ...................................................250
4.9.1. Оптимизация цепей путем перестановки
эквивалентных выводов и ячеек ............................... 250 .
4.9.2. Интерактивная трассировка ......................................256
4.9.3. Трассировка дифференциальных пар.......................261
4.9.4. Автоматическая трассировка (Situs) ..........................267
4.10. Редактирование объектов на плате...................................271
4.11. Проверка правил проектирования.
Верификация платы ..........................................................276
4.12. Добавление механических деталей на плату....................278
4.13. Работа с полигонами..........................................................288
4.13.1. Металлизация на сигнальных слоях платы ............288
4.13.2. Разделение экранных слоев ..................................... 291
4.14. Особенности импорта и экспорта плат............................292
4.14.1. Импорт/Экспорт в формат AutoCAD .....................295
4.14.2. Импорт/Экспорт платы в формате P-CAD200X....296
4.15. Получение выходной документации ................................ 299
4.15.1. Формирование GеrЬеr-файлов ................................ 299
4.15.2. Формирование файла сверловки............................. 301
423
Содержание
4.15.3. Настройки печати сборочного чертежа
и чертежа платы ........................................................ 302
Глава 5. Аналоrо-цифровое моделирование ................................ 309
5.1. Источники сигналов .......................................................... 311
5.1.1. Задание сигналов стандартной формы ................... 311
5.1.2. Задание сигналов сложной формы ......................... 319
5.2. Подготовка электрической схемы к моделированию ..... 324
5.3. Моделирование электрических схем ............................... 326
5.3.1. Составление задания на моделирование ................ 328
5.3.2. Задание параметров плл конкретного вида
анализа и модслироваю1е ........................................ 330
5.3.3. Отображение результатов моделирования ............. 366
5.4. Обработка ошибок, возникающих
при моделировании .......................................................... 384
5.4.1. Понятие сходимости процесса моделирования ..... 384
5.4.2. Внутренние переменные системы
моделирования и их корректировка ....................... 385
5.4.3. Рекомендации по решению проблемы
сходимости ............... ··········· .............................········· 387
5.5. Моделирование проекта ПЛИС ....................................... 387
Глава 6. Для nрофессиоиалов ............................�.........................394
6.1. Введение в язык запросов (Query Language) ..................... 394
6.2. Команды меню редактора плат...........................................399
6.2.1. Команды меню File ....................................................399
6.2.2. Команды меню Edit....................................................400
6.2.3. Команды меню Yiew ..................................................401
6.2.4. Команды меню Project ................................ :..............403
6.2.5. Команды меню Design ...............................................404
6.2.6. Команды меню Tools..................................................405
6.2. 7. Команды меню Autoroute...........................................406
6.2.8. Команды меню Reports ..............................................407
6.2.9. Команды меню Window .............................................408
6.2.10. Команды меню Не\р .................................................408
6.3. Управление панелями инструментов Altium Dcsigner ......408
6.4. Использование готовых фраrментоn схем и плат
(панель Snippets) ..................................................................412
Список литературы .....................................................................419
Документация по Altium Desigпer.................................................420
424
Серия <•С11с111е,ны 11роек11111роватm,>
Сабушш Алексеii Евrеньев11•1
Altium Designer
Новые решения в проектировании
электронных устройств
Ответственный за выпуск
В. М11тин
Макет и верстка
А. Иванова
Об)Jожка
К. Бобрусь
ООО «СОЛОН-ПРЕСС»
123001, г. Москва, а/я 82
Телефоиы: (495) 254-44-10, (499) 252-36-96, (499) 252-25-21
E-mail: avroг@coba.ru
По вопросам приобретения обращаться:
ООО �АJIЬЯНС-КНИГА КТК•
Тел: (495) 258-91-94, 258-91-95, www.allans-kniga.ru
ООО •СОЛОН-ПРЕСС,,
103050, г. Москва, Дегтярный пер., л. 5, стр. 2
Фор!,!аТ 60х88/16. Объем 27 11. л. Тнраж 1000 экJ.
Оmечатано в ООО «Арт-днал•
143983, МО, r. Железнодорожный, ул. Керами•1есющ, 11. 3
-Заказ № 32